arm_adi_v5: Do not ignore register polling timeout
[openocd.git] / src / target / arm_adi_v5.h
1 /***************************************************************************
2 * Copyright (C) 2006 by Magnus Lundin *
3 * lundin@mlu.mine.nu *
4 * *
5 * Copyright (C) 2008 by Spencer Oliver *
6 * spen@spen-soft.co.uk *
7 * *
8 * This program is free software; you can redistribute it and/or modify *
9 * it under the terms of the GNU General Public License as published by *
10 * the Free Software Foundation; either version 2 of the License, or *
11 * (at your option) any later version. *
12 * *
13 * This program is distributed in the hope that it will be useful, *
14 * but WITHOUT ANY WARRANTY; without even the implied warranty of *
15 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the *
16 * GNU General Public License for more details. *
17 * *
18 * You should have received a copy of the GNU General Public License *
19 * along with this program; if not, write to the *
20 * Free Software Foundation, Inc., *
21 * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA. *
22 ***************************************************************************/
23
24 #ifndef ARM_ADI_V5_H
25 #define ARM_ADI_V5_H
26
27 /**
28 * @file
29 * This defines formats and data structures used to talk to ADIv5 entities.
30 * Those include a DAP, different types of Debug Port (DP), and memory mapped
31 * resources accessed through a MEM-AP.
32 */
33
34 #include "arm_jtag.h"
35
36 /* FIXME remove these JTAG-specific decls when mem_ap_read_buf_u32()
37 * is no longer JTAG-specific
38 */
39 #define JTAG_DP_DPACC 0xA
40 #define JTAG_DP_APACC 0xB
41
42 /* three-bit ACK values for SWD access (sent LSB first) */
43 #define SWD_ACK_OK 0x4
44 #define SWD_ACK_WAIT 0x2
45 #define SWD_ACK_FAULT 0x1
46
47 #define DPAP_WRITE 0
48 #define DPAP_READ 1
49
50 /* A[3:0] for DP registers; A[1:0] are always zero.
51 * - JTAG accesses all of these via JTAG_DP_DPACC, except for
52 * IDCODE (JTAG_DP_IDCODE) and ABORT (JTAG_DP_ABORT).
53 * - SWD accesses these directly, sometimes needing SELECT.CTRLSEL
54 */
55 #define DP_IDCODE 0 /* SWD: read */
56 #define DP_ABORT 0 /* SWD: write */
57 #define DP_CTRL_STAT 0x4 /* r/w */
58 #define DP_WCR 0x4 /* SWD: r/w (mux CTRLSEL) */
59 #define DP_RESEND 0x8 /* SWD: read */
60 #define DP_SELECT 0x8 /* JTAG: r/w; SWD: write */
61 #define DP_RDBUFF 0xC /* read-only */
62
63 #define WCR_TO_TRN(wcr) ((uint32_t)(1 + (3 & ((wcr)) >> 8))) /* 1..4 clocks */
64 #define WCR_TO_PRESCALE(wcr) ((uint32_t)(7 & ((wcr)))) /* impl defined */
65
66 /* Fields of the DP's AP ABORT register */
67 #define DAPABORT (1 << 0)
68 #define STKCMPCLR (1 << 1) /* SWD-only */
69 #define STKERRCLR (1 << 2) /* SWD-only */
70 #define WDERRCLR (1 << 3) /* SWD-only */
71 #define ORUNERRCLR (1 << 4) /* SWD-only */
72
73 /* Fields of the DP's CTRL/STAT register */
74 #define CORUNDETECT (1 << 0)
75 #define SSTICKYORUN (1 << 1)
76 /* 3:2 - transaction mode (e.g. pushed compare) */
77 #define SSTICKYCMP (1 << 4)
78 #define SSTICKYERR (1 << 5)
79 #define READOK (1 << 6) /* SWD-only */
80 #define WDATAERR (1 << 7) /* SWD-only */
81 /* 11:8 - mask lanes for pushed compare or verify ops */
82 /* 21:12 - transaction counter */
83 #define CDBGRSTREQ (1 << 26)
84 #define CDBGRSTACK (1 << 27)
85 #define CDBGPWRUPREQ (1 << 28)
86 #define CDBGPWRUPACK (1 << 29)
87 #define CSYSPWRUPREQ (1 << 30)
88 #define CSYSPWRUPACK (1 << 31)
89
90 /* MEM-AP register addresses */
91 /* TODO: rename as MEM_AP_REG_* */
92 #define AP_REG_CSW 0x00
93 #define AP_REG_TAR 0x04
94 #define AP_REG_DRW 0x0C
95 #define AP_REG_BD0 0x10
96 #define AP_REG_BD1 0x14
97 #define AP_REG_BD2 0x18
98 #define AP_REG_BD3 0x1C
99 #define AP_REG_CFG 0xF4 /* big endian? */
100 #define AP_REG_BASE 0xF8
101
102 /* Generic AP register address */
103 #define AP_REG_IDR 0xFC
104
105 /* Fields of the MEM-AP's CSW register */
106 #define CSW_8BIT 0
107 #define CSW_16BIT 1
108 #define CSW_32BIT 2
109 #define CSW_ADDRINC_MASK (3 << 4)
110 #define CSW_ADDRINC_OFF 0
111 #define CSW_ADDRINC_SINGLE (1 << 4)
112 #define CSW_ADDRINC_PACKED (2 << 4)
113 #define CSW_DEVICE_EN (1 << 6)
114 #define CSW_TRIN_PROG (1 << 7)
115 #define CSW_SPIDEN (1 << 23)
116 /* 30:24 - implementation-defined! */
117 #define CSW_HPROT (1 << 25) /* ? */
118 #define CSW_MASTER_DEBUG (1 << 29) /* ? */
119 #define CSW_SPROT (1 << 30)
120 #define CSW_DBGSWENABLE (1 << 31)
121
122 /**
123 * This represents an ARM Debug Interface (v5) Debug Access Port (DAP).
124 * A DAP has two types of component: one Debug Port (DP), which is a
125 * transport agent; and at least one Access Port (AP), controlling
126 * resource access. Most common is a MEM-AP, for memory access.
127 *
128 * There are two basic DP transports: JTAG, and ARM's low pin-count SWD.
129 * Accordingly, this interface is responsible for hiding the transport
130 * differences so upper layer code can largely ignore them.
131 *
132 * When the chip is implemented with JTAG-DP or SW-DP, the transport is
133 * fixed as JTAG or SWD, respectively. Chips incorporating SWJ-DP permit
134 * a choice made at board design time (by only using the SWD pins), or
135 * as part of setting up a debug session (if all the dual-role JTAG/SWD
136 * signals are available).
137 */
138 struct adiv5_dap {
139 const struct dap_ops *ops;
140
141 struct arm_jtag *jtag_info;
142 /* Control config */
143 uint32_t dp_ctrl_stat;
144
145 uint32_t apcsw[256];
146 uint32_t apsel;
147
148 /**
149 * Cache for DP_SELECT bits identifying the current AP. A DAP may
150 * connect to multiple APs, such as one MEM-AP for general access,
151 * another reserved for accessing debug modules, and a JTAG-DP.
152 * "-1" indicates no cached value.
153 */
154 uint32_t ap_current;
155
156 /**
157 * Cache for DP_SELECT bits identifying the current four-word AP
158 * register bank. This caches AP register addresss bits 7:4; JTAG
159 * and SWD access primitves pass address bits 3:2; bits 1:0 are zero.
160 * "-1" indicates no cached value.
161 */
162 uint32_t ap_bank_value;
163
164 /**
165 * Cache for (MEM-AP) AP_REG_CSW register value. This is written to
166 * configure an access mode, such as autoincrementing AP_REG_TAR during
167 * word access. "-1" indicates no cached value.
168 */
169 uint32_t ap_csw_value;
170
171 /**
172 * Cache for (MEM-AP) AP_REG_TAR register value This is written to
173 * configure the address being read or written
174 * "-1" indicates no cached value.
175 */
176 uint32_t ap_tar_value;
177
178 /* information about current pending SWjDP-AHBAP transaction */
179 uint8_t ack;
180
181 /**
182 * Configures how many extra tck clocks are added after starting a
183 * MEM-AP access before we try to read its status (and/or result).
184 */
185 uint32_t memaccess_tck;
186
187 /* Size of TAR autoincrement block, ARM ADI Specification requires at least 10 bits */
188 uint32_t tar_autoincr_block;
189
190 /* true if packed transfers are supported by the MEM-AP */
191 bool packed_transfers;
192
193 /* true if unaligned memory access is not supported by the MEM-AP */
194 bool unaligned_access_bad;
195
196 /* The TI TMS470 and TMS570 series processors use a BE-32 memory ordering
197 * despite lack of support in the ARMv7 architecture. Memory access through
198 * the AHB-AP has strange byte ordering these processors, and we need to
199 * swizzle appropriately. */
200 bool ti_be_32_quirks;
201 };
202
203 /**
204 * Transport-neutral representation of queued DAP transactions, supporting
205 * both JTAG and SWD transports. All submitted transactions are logically
206 * queued, until the queue is executed by run(). Some implementations might
207 * execute transactions as soon as they're submitted, but no status is made
208 * availablue until run().
209 */
210 struct dap_ops {
211 /** If the DAP transport isn't SWD, it must be JTAG. Upper level
212 * code may need to care about the difference in some cases.
213 */
214 bool is_swd;
215
216 /** Reads the DAP's IDCODe register. */
217 int (*queue_idcode_read)(struct adiv5_dap *dap,
218 uint8_t *ack, uint32_t *data);
219
220 /** DP register read. */
221 int (*queue_dp_read)(struct adiv5_dap *dap, unsigned reg,
222 uint32_t *data);
223 /** DP register write. */
224 int (*queue_dp_write)(struct adiv5_dap *dap, unsigned reg,
225 uint32_t data);
226
227 /** AP register read. */
228 int (*queue_ap_read)(struct adiv5_dap *dap, unsigned reg,
229 uint32_t *data);
230 /** AP register write. */
231 int (*queue_ap_write)(struct adiv5_dap *dap, unsigned reg,
232 uint32_t data);
233 /** AP read block. */
234 int (*queue_ap_read_block)(struct adiv5_dap *dap, unsigned reg,
235 uint32_t blocksize, uint8_t *buffer);
236
237 /** AP operation abort. */
238 int (*queue_ap_abort)(struct adiv5_dap *dap, uint8_t *ack);
239
240 /** Executes all queued DAP operations. */
241 int (*run)(struct adiv5_dap *dap);
242 };
243
244 /*
245 * Access Port types
246 */
247 enum ap_type {
248 AP_TYPE_AHB_AP = 0x01, /* AHB Memory-AP */
249 AP_TYPE_APB_AP = 0x02, /* APB Memory-AP */
250 AP_TYPE_JTAG_AP = 0x10 /* JTAG-AP - JTAG master for controlling other JTAG devices */
251 };
252
253 /**
254 * Queue an IDCODE register read. This is primarily useful for SWD
255 * transports, where it is required as part of link initialization.
256 * (For JTAG, this register is read as part of scan chain setup.)
257 *
258 * @param dap The DAP used for reading.
259 * @param ack Pointer to where transaction status will be stored.
260 * @param data Pointer saying where to store the IDCODE value.
261 *
262 * @return ERROR_OK for success, else a fault code.
263 */
264 static inline int dap_queue_idcode_read(struct adiv5_dap *dap,
265 uint8_t *ack, uint32_t *data)
266 {
267 assert(dap->ops != NULL);
268 return dap->ops->queue_idcode_read(dap, ack, data);
269 }
270
271 /**
272 * Queue a DP register read.
273 * Note that not all DP registers are readable; also, that JTAG and SWD
274 * have slight differences in DP register support.
275 *
276 * @param dap The DAP used for reading.
277 * @param reg The two-bit number of the DP register being read.
278 * @param data Pointer saying where to store the register's value
279 * (in host endianness).
280 *
281 * @return ERROR_OK for success, else a fault code.
282 */
283 static inline int dap_queue_dp_read(struct adiv5_dap *dap,
284 unsigned reg, uint32_t *data)
285 {
286 assert(dap->ops != NULL);
287 return dap->ops->queue_dp_read(dap, reg, data);
288 }
289
290 /**
291 * Queue a DP register write.
292 * Note that not all DP registers are writable; also, that JTAG and SWD
293 * have slight differences in DP register support.
294 *
295 * @param dap The DAP used for writing.
296 * @param reg The two-bit number of the DP register being written.
297 * @param data Value being written (host endianness)
298 *
299 * @return ERROR_OK for success, else a fault code.
300 */
301 static inline int dap_queue_dp_write(struct adiv5_dap *dap,
302 unsigned reg, uint32_t data)
303 {
304 assert(dap->ops != NULL);
305 return dap->ops->queue_dp_write(dap, reg, data);
306 }
307
308 /**
309 * Queue an AP register read.
310 *
311 * @param dap The DAP used for reading.
312 * @param reg The number of the AP register being read.
313 * @param data Pointer saying where to store the register's value
314 * (in host endianness).
315 *
316 * @return ERROR_OK for success, else a fault code.
317 */
318 static inline int dap_queue_ap_read(struct adiv5_dap *dap,
319 unsigned reg, uint32_t *data)
320 {
321 assert(dap->ops != NULL);
322 return dap->ops->queue_ap_read(dap, reg, data);
323 }
324
325 /**
326 * Queue an AP register write.
327 *
328 * @param dap The DAP used for writing.
329 * @param reg The number of the AP register being written.
330 * @param data Value being written (host endianness)
331 *
332 * @return ERROR_OK for success, else a fault code.
333 */
334 static inline int dap_queue_ap_write(struct adiv5_dap *dap,
335 unsigned reg, uint32_t data)
336 {
337 assert(dap->ops != NULL);
338 return dap->ops->queue_ap_write(dap, reg, data);
339 }
340
341 /**
342 * Queue an AP block read.
343 *
344 * @param dap The DAP used for reading.
345 * @param reg The number of the AP register being read.
346 * @param blocksize The number of the AP register being read.
347 * @param buffer Pointer saying where to store the data
348 * (in host endianness).
349 *
350 * @return ERROR_OK for success, else a fault code.
351 */
352 static inline int dap_queue_ap_read_block(struct adiv5_dap *dap,
353 unsigned reg, unsigned blocksize, uint8_t *buffer)
354 {
355 assert(dap->ops != NULL);
356 return dap->ops->queue_ap_read_block(dap, reg, blocksize, buffer);
357 }
358
359 /**
360 * Queue an AP abort operation. The current AP transaction is aborted,
361 * including any update of the transaction counter. The AP is left in
362 * an unknown state (so it must be re-initialized). For use only after
363 * the AP has reported WAIT status for an extended period.
364 *
365 * @param dap The DAP used for writing.
366 * @param ack Pointer to where transaction status will be stored.
367 *
368 * @return ERROR_OK for success, else a fault code.
369 */
370 static inline int dap_queue_ap_abort(struct adiv5_dap *dap, uint8_t *ack)
371 {
372 assert(dap->ops != NULL);
373 return dap->ops->queue_ap_abort(dap, ack);
374 }
375
376 /**
377 * Perform all queued DAP operations, and clear any errors posted in the
378 * CTRL_STAT register when they are done. Note that if more than one AP
379 * operation will be queued, one of the first operations in the queue
380 * should probably enable CORUNDETECT in the CTRL/STAT register.
381 *
382 * @param dap The DAP used.
383 *
384 * @return ERROR_OK for success, else a fault code.
385 */
386 static inline int dap_run(struct adiv5_dap *dap)
387 {
388 assert(dap->ops != NULL);
389 return dap->ops->run(dap);
390 }
391
392 static inline int dap_dp_read_atomic(struct adiv5_dap *dap, unsigned reg,
393 uint32_t *value)
394 {
395 int retval;
396
397 retval = dap_queue_dp_read(dap, reg, value);
398 if (retval != ERROR_OK)
399 return retval;
400
401 return dap_run(dap);
402 }
403
404 static inline int dap_dp_poll_register(struct adiv5_dap *dap, unsigned reg,
405 uint32_t mask, uint32_t value, int timeout)
406 {
407 assert(timeout > 0);
408 assert((value & mask) == value);
409
410 int ret;
411 uint32_t regval;
412 LOG_DEBUG("DAP: poll %x, mask 0x08%" PRIx32 ", value 0x%08" PRIx32,
413 reg, mask, value);
414 do {
415 ret = dap_dp_read_atomic(dap, reg, &regval);
416 if (ret != ERROR_OK)
417 return ret;
418
419 if ((regval & mask) == value)
420 break;
421
422 alive_sleep(10);
423 } while (--timeout);
424
425 if (!timeout) {
426 LOG_DEBUG("DAP: poll %x timeout", reg);
427 return ERROR_FAIL;
428 } else {
429 return ERROR_OK;
430 }
431 }
432
433 /** Accessor for currently selected DAP-AP number (0..255) */
434 static inline uint8_t dap_ap_get_select(struct adiv5_dap *swjdp)
435 {
436 return (uint8_t)(swjdp->ap_current >> 24);
437 }
438
439 /* AP selection applies to future AP transactions */
440 void dap_ap_select(struct adiv5_dap *dap, uint8_t ap);
441
442 /* Queued AP transactions */
443 int dap_setup_accessport(struct adiv5_dap *swjdp,
444 uint32_t csw, uint32_t tar);
445
446 /* Queued MEM-AP memory mapped single word transfers */
447 int mem_ap_read_u32(struct adiv5_dap *swjdp, uint32_t address, uint32_t *value);
448 int mem_ap_write_u32(struct adiv5_dap *swjdp, uint32_t address, uint32_t value);
449
450 /* Synchronous MEM-AP memory mapped single word transfers */
451 int mem_ap_read_atomic_u32(struct adiv5_dap *swjdp,
452 uint32_t address, uint32_t *value);
453 int mem_ap_write_atomic_u32(struct adiv5_dap *swjdp,
454 uint32_t address, uint32_t value);
455
456 /* Queued MEM-AP memory mapped single word transfers with selection of ap */
457 int mem_ap_sel_read_u32(struct adiv5_dap *swjdp, uint8_t ap,
458 uint32_t address, uint32_t *value);
459 int mem_ap_sel_write_u32(struct adiv5_dap *swjdp, uint8_t ap,
460 uint32_t address, uint32_t value);
461
462 /* Synchronous MEM-AP memory mapped single word transfers with selection of ap */
463 int mem_ap_sel_read_atomic_u32(struct adiv5_dap *swjdp, uint8_t ap,
464 uint32_t address, uint32_t *value);
465 int mem_ap_sel_write_atomic_u32(struct adiv5_dap *swjdp, uint8_t ap,
466 uint32_t address, uint32_t value);
467
468 /* Synchronous MEM-AP memory mapped bus block transfers */
469 int mem_ap_read(struct adiv5_dap *dap, uint8_t *buffer, uint32_t size,
470 uint32_t count, uint32_t address, bool addrinc);
471 int mem_ap_write(struct adiv5_dap *dap, const uint8_t *buffer, uint32_t size,
472 uint32_t count, uint32_t address, bool addrinc);
473
474 /* Synchronous MEM-AP memory mapped bus block transfers with selection of ap */
475 int mem_ap_sel_read_buf(struct adiv5_dap *swjdp, uint8_t ap,
476 uint8_t *buffer, uint32_t size, uint32_t count, uint32_t address);
477 int mem_ap_sel_write_buf(struct adiv5_dap *swjdp, uint8_t ap,
478 const uint8_t *buffer, uint32_t size, uint32_t count, uint32_t address);
479
480 /* Synchronous, non-incrementing buffer functions for accessing fifos, with
481 * selection of ap */
482 int mem_ap_sel_read_buf_noincr(struct adiv5_dap *swjdp, uint8_t ap,
483 uint8_t *buffer, uint32_t size, uint32_t count, uint32_t address);
484 int mem_ap_sel_write_buf_noincr(struct adiv5_dap *swjdp, uint8_t ap,
485 const uint8_t *buffer, uint32_t size, uint32_t count, uint32_t address);
486
487 /* Initialisation of the debug system, power domains and registers */
488 int ahbap_debugport_init(struct adiv5_dap *swjdp);
489
490 /* Probe the AP for ROM Table location */
491 int dap_get_debugbase(struct adiv5_dap *dap, int ap,
492 uint32_t *dbgbase, uint32_t *apid);
493
494 /* Probe Access Ports to find a particular type */
495 int dap_find_ap(struct adiv5_dap *dap,
496 enum ap_type type_to_find,
497 uint8_t *ap_num_out);
498
499 /* Lookup CoreSight component */
500 int dap_lookup_cs_component(struct adiv5_dap *dap, int ap,
501 uint32_t dbgbase, uint8_t type, uint32_t *addr);
502
503 struct target;
504
505 /* Put debug link into SWD mode */
506 int dap_to_swd(struct target *target);
507
508 /* Put debug link into JTAG mode */
509 int dap_to_jtag(struct target *target);
510
511 extern const struct command_registration dap_command_handlers[];
512
513 #endif

Linking to existing account procedure

If you already have an account and want to add another login method you MUST first sign in with your existing account and then change URL to read https://review.openocd.org/login/?link to get to this page again but this time it'll work for linking. Thank you.

SSH host keys fingerprints

1024 SHA256:YKx8b7u5ZWdcbp7/4AeXNaqElP49m6QrwfXaqQGJAOk gerrit-code-review@openocd.zylin.com (DSA)
384 SHA256:jHIbSQa4REvwCFG4cq5LBlBLxmxSqelQPem/EXIrxjk gerrit-code-review@openocd.org (ECDSA)
521 SHA256:UAOPYkU9Fjtcao0Ul/Rrlnj/OsQvt+pgdYSZ4jOYdgs gerrit-code-review@openocd.org (ECDSA)
256 SHA256:A13M5QlnozFOvTllybRZH6vm7iSt0XLxbA48yfc2yfY gerrit-code-review@openocd.org (ECDSA)
256 SHA256:spYMBqEYoAOtK7yZBrcwE8ZpYt6b68Cfh9yEVetvbXg gerrit-code-review@openocd.org (ED25519)
+--[ED25519 256]--+
|=..              |
|+o..   .         |
|*.o   . .        |
|+B . . .         |
|Bo. = o S        |
|Oo.+ + =         |
|oB=.* = . o      |
| =+=.+   + E     |
|. .=o   . o      |
+----[SHA256]-----+
2048 SHA256:0Onrb7/PHjpo6iVZ7xQX2riKN83FJ3KGU0TvI0TaFG4 gerrit-code-review@openocd.zylin.com (RSA)