- add missing svn props from 1323 commit
[openocd.git] / testing / examples / SAM7S256Test / test_ram.map
1
2 Memory Configuration
3
4 Name Origin Length Attributes
5 ram 0x00200000 0x00010000
6 *default* 0x00000000 0xffffffff
7
8 Linker script and memory map
9
10 LOAD ./src/crt.o
11 LOAD ./src/main.o
12 START GROUP
13 LOAD d:/compiler/yagarto/bin/../lib/gcc/arm-elf/4.2.2\libgcc.a
14 LOAD d:/compiler/yagarto/bin/../lib/gcc/arm-elf/4.2.2/../../../../arm-elf/lib\libc.a
15 END GROUP
16 0x00000100 FIQ_STACK_SIZE = 0x100
17 0x00000100 IRQ_STACK_SIZE = 0x100
18 0x00000100 ABT_STACK_SIZE = 0x100
19 0x00000100 UND_STACK_SIZE = 0x100
20 0x00000400 SVC_STACK_SIZE = 0x400
21
22 .text 0x00200000 0x194
23 *(.vectors)
24 .vectors 0x00200000 0x40 ./src/crt.o
25 0x00200040 . = ALIGN (0x4)
26 *(.init)
27 .init 0x00200040 0xf0 ./src/crt.o
28 0x002000f8 FIQHandler
29 0x002000ec PAbortHandler
30 0x002000d4 ExitFunction
31 0x00200040 ResetHandler
32 0x002000f0 DAbortHandler
33 0x002000f4 IRQHandler
34 0x002000e4 UndefHandler
35 0x00200130 . = ALIGN (0x4)
36 *(.text)
37 .text 0x00200130 0x0 ./src/crt.o
38 .text 0x00200130 0x60 ./src/main.o
39 0x00200130 main
40 0x00200190 . = ALIGN (0x4)
41 *(.rodata)
42 .rodata 0x00200190 0x4 ./src/main.o
43 0x00200194 . = ALIGN (0x4)
44 *(.rodata*)
45 0x00200194 . = ALIGN (0x4)
46 *(.glue_7t)
47 .glue_7t 0x00200194 0x0 ./src/crt.o
48 .glue_7t 0x00200194 0x0 ./src/main.o
49 0x00200194 . = ALIGN (0x4)
50 *(.glue_7)
51 .glue_7 0x00200194 0x0 ./src/crt.o
52 .glue_7 0x00200194 0x0 ./src/main.o
53 0x00200194 . = ALIGN (0x4)
54 0x00200194 etext = .
55
56 .vfp11_veneer 0x00000000 0x0
57 .vfp11_veneer 0x00000000 0x0 ./src/crt.o
58 .vfp11_veneer 0x00000000 0x0 ./src/main.o
59
60 .data 0x00200194 0x0
61 0x00200194 PROVIDE (__data_start, .)
62 *(.data)
63 .data 0x00200194 0x0 ./src/crt.o
64 .data 0x00200194 0x0 ./src/main.o
65 0x00200194 . = ALIGN (0x4)
66 0x00200194 edata = .
67 0x00200194 _edata = .
68 0x00200194 PROVIDE (__data_end, .)
69
70 .bss 0x00200194 0x86c
71 0x00200194 PROVIDE (__bss_start, .)
72 *(.bss)
73 .bss 0x00200194 0x0 ./src/crt.o
74 .bss 0x00200194 0x0 ./src/main.o
75 *(COMMON)
76 0x00200194 . = ALIGN (0x4)
77 0x00200194 PROVIDE (__bss_end, .)
78 0x00200200 . = ALIGN (0x100)
79 *fill* 0x00200194 0x6c 00
80 0x00200200 PROVIDE (__stack_start, .)
81 0x00200200 PROVIDE (__stack_fiq_start, .)
82 0x00200300 . = (. + FIQ_STACK_SIZE)
83 *fill* 0x00200200 0x100 00
84 0x00200300 . = ALIGN (0x4)
85 0x00200300 PROVIDE (__stack_fiq_end, .)
86 0x00200300 PROVIDE (__stack_irq_start, .)
87 0x00200400 . = (. + IRQ_STACK_SIZE)
88 *fill* 0x00200300 0x100 00
89 0x00200400 . = ALIGN (0x4)
90 0x00200400 PROVIDE (__stack_irq_end, .)
91 0x00200400 PROVIDE (__stack_abt_start, .)
92 0x00200500 . = (. + ABT_STACK_SIZE)
93 *fill* 0x00200400 0x100 00
94 0x00200500 . = ALIGN (0x4)
95 0x00200500 PROVIDE (__stack_abt_end, .)
96 0x00200500 PROVIDE (__stack_und_start, .)
97 0x00200600 . = (. + UND_STACK_SIZE)
98 *fill* 0x00200500 0x100 00
99 0x00200600 . = ALIGN (0x4)
100 0x00200600 PROVIDE (__stack_und_end, .)
101 0x00200600 PROVIDE (__stack_svc_start, .)
102 0x00200a00 . = (. + SVC_STACK_SIZE)
103 *fill* 0x00200600 0x400 00
104 0x00200a00 . = ALIGN (0x4)
105 0x00200a00 PROVIDE (__stack_svc_end, .)
106 0x00200a00 PROVIDE (__stack_end, .)
107 0x00200a00 PROVIDE (__heap_start, .)
108 OUTPUT(test_ram.elf elf32-littlearm)
109
110 .ARM.attributes
111 0x00000000 0x10
112 .ARM.attributes
113 0x00000000 0x10 ./src/crt.o
114 .ARM.attributes
115 0x00000010 0x10 ./src/main.o
116
117 .debug_line 0x00000000 0xd6
118 .debug_line 0x00000000 0x7f ./src/crt.o
119 .debug_line 0x0000007f 0x57 ./src/main.o
120
121 .debug_info 0x00000000 0x1aa
122 .debug_info 0x00000000 0x75 ./src/crt.o
123 .debug_info 0x00000075 0x135 ./src/main.o
124
125 .debug_abbrev 0x00000000 0x6d
126 .debug_abbrev 0x00000000 0x12 ./src/crt.o
127 .debug_abbrev 0x00000012 0x5b ./src/main.o
128
129 .debug_aranges 0x00000000 0x48
130 .debug_aranges
131 0x00000000 0x28 ./src/crt.o
132 .debug_aranges
133 0x00000028 0x20 ./src/main.o
134
135 .debug_ranges 0x00000000 0x20
136 .debug_ranges 0x00000000 0x20 ./src/crt.o
137
138 .debug_frame 0x00000000 0x24
139 .debug_frame 0x00000000 0x24 ./src/main.o
140
141 .debug_loc 0x00000000 0x1f
142 .debug_loc 0x00000000 0x1f ./src/main.o
143
144 .debug_pubnames
145 0x00000000 0x1b
146 .debug_pubnames
147 0x00000000 0x1b ./src/main.o
148
149 .comment 0x00000000 0x12
150 .comment 0x00000000 0x12 ./src/main.o
151
152 Cross Reference Table
153
154 Symbol File
155 DAbortHandler ./src/crt.o
156 ExitFunction ./src/crt.o
157 FIQHandler ./src/crt.o
158 IRQHandler ./src/crt.o
159 PAbortHandler ./src/crt.o
160 ResetHandler ./src/crt.o
161 UndefHandler ./src/crt.o
162 __bss_end ./src/crt.o
163 __bss_start ./src/crt.o
164 __stack_abt_end ./src/crt.o
165 __stack_fiq_end ./src/crt.o
166 __stack_irq_end ./src/crt.o
167 __stack_svc_end ./src/crt.o
168 __stack_und_end ./src/crt.o
169 main ./src/main.o
170 ./src/crt.o

Linking to existing account procedure

If you already have an account and want to add another login method you MUST first sign in with your existing account and then change URL to read https://review.openocd.org/login/?link to get to this page again but this time it'll work for linking. Thank you.

SSH host keys fingerprints

1024 SHA256:YKx8b7u5ZWdcbp7/4AeXNaqElP49m6QrwfXaqQGJAOk gerrit-code-review@openocd.zylin.com (DSA)
384 SHA256:jHIbSQa4REvwCFG4cq5LBlBLxmxSqelQPem/EXIrxjk gerrit-code-review@openocd.org (ECDSA)
521 SHA256:UAOPYkU9Fjtcao0Ul/Rrlnj/OsQvt+pgdYSZ4jOYdgs gerrit-code-review@openocd.org (ECDSA)
256 SHA256:A13M5QlnozFOvTllybRZH6vm7iSt0XLxbA48yfc2yfY gerrit-code-review@openocd.org (ECDSA)
256 SHA256:spYMBqEYoAOtK7yZBrcwE8ZpYt6b68Cfh9yEVetvbXg gerrit-code-review@openocd.org (ED25519)
+--[ED25519 256]--+
|=..              |
|+o..   .         |
|*.o   . .        |
|+B . . .         |
|Bo. = o S        |
|Oo.+ + =         |
|oB=.* = . o      |
| =+=.+   + E     |
|. .=o   . o      |
+----[SHA256]-----+
2048 SHA256:0Onrb7/PHjpo6iVZ7xQX2riKN83FJ3KGU0TvI0TaFG4 gerrit-code-review@openocd.zylin.com (RSA)