jtag: linuxgpiod: drop extra parenthesis
[openocd.git] / testing / examples / SAM7S256Test / test_rom.map
1
2 Memory Configuration
3
4 Name Origin Length Attributes
5 rom 0x00100000 0x00040000
6 ram 0x00200000 0x00010000
7 *default* 0x00000000 0xffffffff
8
9 Linker script and memory map
10
11 LOAD ./src/crt.o
12 LOAD ./src/main.o
13 START GROUP
14 LOAD d:/compiler/yagarto/bin/../lib/gcc/arm-elf/4.2.2\libgcc.a
15 LOAD d:/compiler/yagarto/bin/../lib/gcc/arm-elf/4.2.2/../../../../arm-elf/lib\libc.a
16 END GROUP
17 0x00000100 FIQ_STACK_SIZE = 0x100
18 0x00000100 IRQ_STACK_SIZE = 0x100
19 0x00000100 ABT_STACK_SIZE = 0x100
20 0x00000100 UND_STACK_SIZE = 0x100
21 0x00000400 SVC_STACK_SIZE = 0x400
22
23 .text 0x00100000 0x194
24 *(.vectors)
25 .vectors 0x00100000 0x40 ./src/crt.o
26 0x00100040 . = ALIGN (0x4)
27 *(.init)
28 .init 0x00100040 0xf0 ./src/crt.o
29 0x001000f8 FIQHandler
30 0x001000ec PAbortHandler
31 0x001000d4 ExitFunction
32 0x00100040 ResetHandler
33 0x001000f0 DAbortHandler
34 0x001000f4 IRQHandler
35 0x001000e4 UndefHandler
36 0x00100130 . = ALIGN (0x4)
37 *(.text)
38 .text 0x00100130 0x0 ./src/crt.o
39 .text 0x00100130 0x60 ./src/main.o
40 0x00100130 main
41 0x00100190 . = ALIGN (0x4)
42 *(.rodata)
43 .rodata 0x00100190 0x4 ./src/main.o
44 0x00100194 . = ALIGN (0x4)
45 *(.rodata*)
46 0x00100194 . = ALIGN (0x4)
47 *(.glue_7t)
48 .glue_7t 0x00100194 0x0 ./src/crt.o
49 .glue_7t 0x00100194 0x0 ./src/main.o
50 0x00100194 . = ALIGN (0x4)
51 *(.glue_7)
52 .glue_7 0x00100194 0x0 ./src/crt.o
53 .glue_7 0x00100194 0x0 ./src/main.o
54 0x00100194 . = ALIGN (0x4)
55 0x00100194 etext = .
56
57 .vfp11_veneer 0x00000000 0x0
58 .vfp11_veneer 0x00000000 0x0 ./src/crt.o
59 .vfp11_veneer 0x00000000 0x0 ./src/main.o
60
61 .data 0x00200000 0x0
62 0x00200000 PROVIDE (__data_start, .)
63 *(.data)
64 .data 0x00200000 0x0 ./src/crt.o
65 .data 0x00200000 0x0 ./src/main.o
66 0x00200000 . = ALIGN (0x4)
67 0x00200000 edata = .
68 0x00200000 _edata = .
69 0x00200000 PROVIDE (__data_end, .)
70
71 .bss 0x00200000 0x800
72 0x00200000 PROVIDE (__bss_start, .)
73 *(.bss)
74 .bss 0x00200000 0x0 ./src/crt.o
75 .bss 0x00200000 0x0 ./src/main.o
76 *(COMMON)
77 0x00200000 . = ALIGN (0x4)
78 0x00200000 PROVIDE (__bss_end, .)
79 0x00200000 . = ALIGN (0x100)
80 0x00200000 PROVIDE (__stack_start, .)
81 0x00200000 PROVIDE (__stack_fiq_start, .)
82 0x00200100 . = (. + FIQ_STACK_SIZE)
83 *fill* 0x00200000 0x100 00
84 0x00200100 . = ALIGN (0x4)
85 0x00200100 PROVIDE (__stack_fiq_end, .)
86 0x00200100 PROVIDE (__stack_irq_start, .)
87 0x00200200 . = (. + IRQ_STACK_SIZE)
88 *fill* 0x00200100 0x100 00
89 0x00200200 . = ALIGN (0x4)
90 0x00200200 PROVIDE (__stack_irq_end, .)
91 0x00200200 PROVIDE (__stack_abt_start, .)
92 0x00200300 . = (. + ABT_STACK_SIZE)
93 *fill* 0x00200200 0x100 00
94 0x00200300 . = ALIGN (0x4)
95 0x00200300 PROVIDE (__stack_abt_end, .)
96 0x00200300 PROVIDE (__stack_und_start, .)
97 0x00200400 . = (. + UND_STACK_SIZE)
98 *fill* 0x00200300 0x100 00
99 0x00200400 . = ALIGN (0x4)
100 0x00200400 PROVIDE (__stack_und_end, .)
101 0x00200400 PROVIDE (__stack_svc_start, .)
102 0x00200800 . = (. + SVC_STACK_SIZE)
103 *fill* 0x00200400 0x400 00
104 0x00200800 . = ALIGN (0x4)
105 0x00200800 PROVIDE (__stack_svc_end, .)
106 0x00200800 PROVIDE (__stack_end, .)
107 0x00200800 PROVIDE (__heap_start, .)
108 OUTPUT(test_rom.elf elf32-littlearm)
109
110 .ARM.attributes
111 0x00000000 0x10
112 .ARM.attributes
113 0x00000000 0x10 ./src/crt.o
114 .ARM.attributes
115 0x00000010 0x10 ./src/main.o
116
117 .debug_line 0x00000000 0xd6
118 .debug_line 0x00000000 0x7f ./src/crt.o
119 .debug_line 0x0000007f 0x57 ./src/main.o
120
121 .debug_info 0x00000000 0x1aa
122 .debug_info 0x00000000 0x75 ./src/crt.o
123 .debug_info 0x00000075 0x135 ./src/main.o
124
125 .debug_abbrev 0x00000000 0x6d
126 .debug_abbrev 0x00000000 0x12 ./src/crt.o
127 .debug_abbrev 0x00000012 0x5b ./src/main.o
128
129 .debug_aranges 0x00000000 0x48
130 .debug_aranges
131 0x00000000 0x28 ./src/crt.o
132 .debug_aranges
133 0x00000028 0x20 ./src/main.o
134
135 .debug_ranges 0x00000000 0x20
136 .debug_ranges 0x00000000 0x20 ./src/crt.o
137
138 .debug_frame 0x00000000 0x24
139 .debug_frame 0x00000000 0x24 ./src/main.o
140
141 .debug_loc 0x00000000 0x1f
142 .debug_loc 0x00000000 0x1f ./src/main.o
143
144 .debug_pubnames
145 0x00000000 0x1b
146 .debug_pubnames
147 0x00000000 0x1b ./src/main.o
148
149 .comment 0x00000000 0x12
150 .comment 0x00000000 0x12 ./src/main.o
151
152 Cross Reference Table
153
154 Symbol File
155 DAbortHandler ./src/crt.o
156 ExitFunction ./src/crt.o
157 FIQHandler ./src/crt.o
158 IRQHandler ./src/crt.o
159 PAbortHandler ./src/crt.o
160 ResetHandler ./src/crt.o
161 UndefHandler ./src/crt.o
162 __bss_end ./src/crt.o
163 __bss_start ./src/crt.o
164 __stack_abt_end ./src/crt.o
165 __stack_fiq_end ./src/crt.o
166 __stack_irq_end ./src/crt.o
167 __stack_svc_end ./src/crt.o
168 __stack_und_end ./src/crt.o
169 main ./src/main.o
170 ./src/crt.o

Linking to existing account procedure

If you already have an account and want to add another login method you MUST first sign in with your existing account and then change URL to read https://review.openocd.org/login/?link to get to this page again but this time it'll work for linking. Thank you.

SSH host keys fingerprints

1024 SHA256:YKx8b7u5ZWdcbp7/4AeXNaqElP49m6QrwfXaqQGJAOk gerrit-code-review@openocd.zylin.com (DSA)
384 SHA256:jHIbSQa4REvwCFG4cq5LBlBLxmxSqelQPem/EXIrxjk gerrit-code-review@openocd.org (ECDSA)
521 SHA256:UAOPYkU9Fjtcao0Ul/Rrlnj/OsQvt+pgdYSZ4jOYdgs gerrit-code-review@openocd.org (ECDSA)
256 SHA256:A13M5QlnozFOvTllybRZH6vm7iSt0XLxbA48yfc2yfY gerrit-code-review@openocd.org (ECDSA)
256 SHA256:spYMBqEYoAOtK7yZBrcwE8ZpYt6b68Cfh9yEVetvbXg gerrit-code-review@openocd.org (ED25519)
+--[ED25519 256]--+
|=..              |
|+o..   .         |
|*.o   . .        |
|+B . . .         |
|Bo. = o S        |
|Oo.+ + =         |
|oB=.* = . o      |
| =+=.+   + E     |
|. .=o   . o      |
+----[SHA256]-----+
2048 SHA256:0Onrb7/PHjpo6iVZ7xQX2riKN83FJ3KGU0TvI0TaFG4 gerrit-code-review@openocd.zylin.com (RSA)