arm_adi_v5: separate ROM table parsing from command output [3/3]
[openocd.git] / src / target / arm_adi_v5.c
index f813d85520c737661d051f74c4caf2a9d9f1b85d..60bd5466694f0e1a045a6eba77eba3594faee863 100644 (file)
@@ -893,6 +893,55 @@ static const char *class_description[16] = {
        [0xF] = "CoreLink, PrimeCell or System component",
 };
 
+#define ARCH_ID(architect, archid) ( \
+       (((architect) << ARM_CS_C9_DEVARCH_ARCHITECT_SHIFT) & ARM_CS_C9_DEVARCH_ARCHITECT_MASK) | \
+       (((archid) << ARM_CS_C9_DEVARCH_ARCHID_SHIFT) & ARM_CS_C9_DEVARCH_ARCHID_MASK) \
+)
+
+static const struct {
+       uint32_t arch_id;
+       const char *description;
+} class0x9_devarch[] = {
+       /* keep same unsorted order as in ARM IHI0029E */
+       { ARCH_ID(ARM_ID, 0x0A00), "RAS architecture" },
+       { ARCH_ID(ARM_ID, 0x1A01), "Instrumentation Trace Macrocell (ITM) architecture" },
+       { ARCH_ID(ARM_ID, 0x1A02), "DWT architecture" },
+       { ARCH_ID(ARM_ID, 0x1A03), "Flash Patch and Breakpoint unit (FPB) architecture" },
+       { ARCH_ID(ARM_ID, 0x2A04), "Processor debug architecture (ARMv8-M)" },
+       { ARCH_ID(ARM_ID, 0x6A05), "Processor debug architecture (ARMv8-R)" },
+       { ARCH_ID(ARM_ID, 0x0A10), "PC sample-based profiling" },
+       { ARCH_ID(ARM_ID, 0x4A13), "Embedded Trace Macrocell (ETM) architecture" },
+       { ARCH_ID(ARM_ID, 0x1A14), "Cross Trigger Interface (CTI) architecture" },
+       { ARCH_ID(ARM_ID, 0x6A15), "Processor debug architecture (v8.0-A)" },
+       { ARCH_ID(ARM_ID, 0x7A15), "Processor debug architecture (v8.1-A)" },
+       { ARCH_ID(ARM_ID, 0x8A15), "Processor debug architecture (v8.2-A)" },
+       { ARCH_ID(ARM_ID, 0x2A16), "Processor Performance Monitor (PMU) architecture" },
+       { ARCH_ID(ARM_ID, 0x0A17), "Memory Access Port v2 architecture" },
+       { ARCH_ID(ARM_ID, 0x0A27), "JTAG Access Port v2 architecture" },
+       { ARCH_ID(ARM_ID, 0x0A31), "Basic trace router" },
+       { ARCH_ID(ARM_ID, 0x0A37), "Power requestor" },
+       { ARCH_ID(ARM_ID, 0x0A47), "Unknown Access Port v2 architecture" },
+       { ARCH_ID(ARM_ID, 0x0A50), "HSSTP architecture" },
+       { ARCH_ID(ARM_ID, 0x0A63), "System Trace Macrocell (STM) architecture" },
+       { ARCH_ID(ARM_ID, 0x0A75), "CoreSight ELA architecture" },
+       { ARCH_ID(ARM_ID, 0x0AF7), "CoreSight ROM architecture" },
+};
+
+#define DEVARCH_ID_MASK         (ARM_CS_C9_DEVARCH_ARCHITECT_MASK | ARM_CS_C9_DEVARCH_ARCHID_MASK)
+#define DEVARCH_ROM_C_0X9       ARCH_ID(ARM_ID, 0x0AF7)
+
+static const char *class0x9_devarch_description(uint32_t devarch)
+{
+       if (!(devarch & ARM_CS_C9_DEVARCH_PRESENT))
+               return "not present";
+
+       for (unsigned int i = 0; i < ARRAY_SIZE(class0x9_devarch); i++)
+               if ((devarch & DEVARCH_ID_MASK) == class0x9_devarch[i].arch_id)
+                       return class0x9_devarch[i].description;
+
+       return "unknown";
+}
+
 static const struct {
        enum ap_type type;
        const char *description;
@@ -1045,53 +1094,89 @@ int dap_lookup_cs_component(struct adiv5_ap *ap,
        return ERROR_OK;
 }
 
-static int dap_read_part_id(struct adiv5_ap *ap, target_addr_t component_base, uint32_t *cid, uint64_t *pid)
+/** Holds registers and coordinates of a CoreSight component */
+struct cs_component_vals {
+       struct adiv5_ap *ap;
+       target_addr_t component_base;
+       uint64_t pid;
+       uint32_t cid;
+       uint32_t devarch;
+       uint32_t devid;
+       uint32_t devtype_memtype;
+};
+
+/**
+ * Read the CoreSight registers needed during ROM Table Parsing (RTP).
+ *
+ * @param ap             Pointer to AP containing the component.
+ * @param component_base On MEM-AP access method, base address of the component.
+ * @param v              Pointer to the struct holding the value of registers.
+ *
+ * @return ERROR_OK on success, else a fault code.
+ */
+static int rtp_read_cs_regs(struct adiv5_ap *ap, target_addr_t component_base,
+               struct cs_component_vals *v)
 {
        assert(IS_ALIGNED(component_base, ARM_CS_ALIGN));
-       assert(ap && cid && pid);
+       assert(ap && v);
 
        uint32_t cid0, cid1, cid2, cid3;
        uint32_t pid0, pid1, pid2, pid3, pid4;
-       int retval;
+       int retval = ERROR_OK;
 
-       /* IDs are in last 4K section */
-       retval = mem_ap_read_u32(ap, component_base + ARM_CS_PIDR0, &pid0);
-       if (retval != ERROR_OK)
-               return retval;
-       retval = mem_ap_read_u32(ap, component_base + ARM_CS_PIDR1, &pid1);
-       if (retval != ERROR_OK)
-               return retval;
-       retval = mem_ap_read_u32(ap, component_base + ARM_CS_PIDR2, &pid2);
-       if (retval != ERROR_OK)
-               return retval;
-       retval = mem_ap_read_u32(ap, component_base + ARM_CS_PIDR3, &pid3);
-       if (retval != ERROR_OK)
-               return retval;
-       retval = mem_ap_read_u32(ap, component_base + ARM_CS_PIDR4, &pid4);
-       if (retval != ERROR_OK)
-               return retval;
-       retval = mem_ap_read_u32(ap, component_base + ARM_CS_CIDR0, &cid0);
-       if (retval != ERROR_OK)
-               return retval;
-       retval = mem_ap_read_u32(ap, component_base + ARM_CS_CIDR1, &cid1);
-       if (retval != ERROR_OK)
-               return retval;
-       retval = mem_ap_read_u32(ap, component_base + ARM_CS_CIDR2, &cid2);
-       if (retval != ERROR_OK)
-               return retval;
-       retval = mem_ap_read_u32(ap, component_base + ARM_CS_CIDR3, &cid3);
-       if (retval != ERROR_OK)
-               return retval;
+       v->ap = ap;
+       v->component_base = component_base;
 
-       retval = dap_run(ap->dap);
-       if (retval != ERROR_OK)
+       /* sort by offset to gain speed */
+
+       /*
+        * Registers DEVARCH, DEVID and DEVTYPE are valid on Class 0x9 devices
+        * only, but are at offset above 0xf00, so can be read on any device
+        * without triggering error. Read them for eventual use on Class 0x9.
+        */
+       if (retval == ERROR_OK)
+               retval = mem_ap_read_u32(ap, component_base + ARM_CS_C9_DEVARCH, &v->devarch);
+
+       if (retval == ERROR_OK)
+               retval = mem_ap_read_u32(ap, component_base + ARM_CS_C9_DEVID, &v->devid);
+
+       /* Same address as ARM_CS_C1_MEMTYPE */
+       if (retval == ERROR_OK)
+               retval = mem_ap_read_u32(ap, component_base + ARM_CS_C9_DEVTYPE, &v->devtype_memtype);
+
+       if (retval == ERROR_OK)
+               retval = mem_ap_read_u32(ap, component_base + ARM_CS_PIDR4, &pid4);
+
+       if (retval == ERROR_OK)
+               retval = mem_ap_read_u32(ap, component_base + ARM_CS_PIDR0, &pid0);
+       if (retval == ERROR_OK)
+               retval = mem_ap_read_u32(ap, component_base + ARM_CS_PIDR1, &pid1);
+       if (retval == ERROR_OK)
+               retval = mem_ap_read_u32(ap, component_base + ARM_CS_PIDR2, &pid2);
+       if (retval == ERROR_OK)
+               retval = mem_ap_read_u32(ap, component_base + ARM_CS_PIDR3, &pid3);
+
+       if (retval == ERROR_OK)
+               retval = mem_ap_read_u32(ap, component_base + ARM_CS_CIDR0, &cid0);
+       if (retval == ERROR_OK)
+               retval = mem_ap_read_u32(ap, component_base + ARM_CS_CIDR1, &cid1);
+       if (retval == ERROR_OK)
+               retval = mem_ap_read_u32(ap, component_base + ARM_CS_CIDR2, &cid2);
+       if (retval == ERROR_OK)
+               retval = mem_ap_read_u32(ap, component_base + ARM_CS_CIDR3, &cid3);
+
+       if (retval == ERROR_OK)
+               retval = dap_run(ap->dap);
+       if (retval != ERROR_OK) {
+               LOG_DEBUG("Failed read CoreSight registers");
                return retval;
+       }
 
-       *cid = (cid3 & 0xff) << 24
+       v->cid = (cid3 & 0xff) << 24
                        | (cid2 & 0xff) << 16
                        | (cid1 & 0xff) << 8
                        | (cid0 & 0xff);
-       *pid = (uint64_t)(pid4 & 0xff) << 32
+       v->pid = (uint64_t)(pid4 & 0xff) << 32
                        | (pid3 & 0xff) << 24
                        | (pid2 & 0xff) << 16
                        | (pid1 & 0xff) << 8
@@ -1107,14 +1192,6 @@ static int dap_read_part_id(struct adiv5_ap *ap, target_addr_t component_base, u
  * from chip observation (e.g. TI SDTI).
  */
 
-/* The legacy code only used the part number field to identify CoreSight peripherals.
- * This meant that the same part number from two different manufacturers looked the same.
- * It is desirable for all future additions to identify with both part number and JEP106.
- * "ANY_ID" is a wildcard (any JEP106) only to preserve legacy behavior for legacy entries.
- */
-
-#define ANY_ID 0x1000
-
 static const struct dap_part_nums {
        uint16_t designer_id;
        uint16_t part_num;
@@ -1227,6 +1304,8 @@ static const struct dap_part_nums {
        { ARM_ID, 0xd0c, "Neoverse N1",                "(Debug Unit)", },
        { ARM_ID, 0xd13, "Cortex-R52 Debug",           "(Debug Unit)", },
        { ARM_ID, 0xd49, "Neoverse N2",                "(Debug Unit)", },
+       { 0x017,  0x120, "TI SDTI",                    "(System Debug Trace Interface)", }, /* from OMAP3 memmap */
+       { 0x017,  0x343, "TI DAPCTL",                  "", }, /* from OMAP3 memmap */
        { 0x017,  0x9af, "MSP432 ROM",                 "(ROM Table)" },
        { 0x01f,  0xcd0, "Atmel CPU with DSU",         "(CPU)" },
        { 0x041,  0x1db, "XMC4500 ROM",                "(ROM Table)" },
@@ -1243,9 +1322,6 @@ static const struct dap_part_nums {
        { 0x1eb,  0x211, "Tegra 210 ROM",              "(ROM Table)", },
        { 0x1eb,  0x302, "Denver Debug",               "(Debug Unit)", },
        { 0x1eb,  0x402, "Denver PMU",                 "(Performance Monitor Unit)", },
-       /* legacy comment: 0x113: what? */
-       { ANY_ID, 0x120, "TI SDTI",                    "(System Debug Trace Interface)", }, /* from OMAP3 memmap */
-       { ANY_ID, 0x343, "TI DAPCTL",                  "", }, /* from OMAP3 memmap */
 };
 
 static const struct dap_part_nums *pidr_to_part_num(unsigned int designer_id, unsigned int part_num)
@@ -1255,12 +1331,10 @@ static const struct dap_part_nums *pidr_to_part_num(unsigned int designer_id, un
                .full = "",
        };
 
-       for (unsigned int i = 0; i < ARRAY_SIZE(dap_part_nums); i++) {
-               if (dap_part_nums[i].designer_id != designer_id && dap_part_nums[i].designer_id != ANY_ID)
-                       continue;
-               if (dap_part_nums[i].part_num == part_num)
+       for (unsigned int i = 0; i < ARRAY_SIZE(dap_part_nums); i++)
+               if (dap_part_nums[i].designer_id == designer_id && dap_part_nums[i].part_num == part_num)
                        return &dap_part_nums[i];
-       }
+
        return &unknown;
 }
 
@@ -1408,107 +1482,100 @@ static int dap_devtype_display(struct command_invocation *cmd, uint32_t devtype)
        return ERROR_OK;
 }
 
-static int dap_rom_display(struct command_invocation *cmd,
-                               struct adiv5_ap *ap, target_addr_t dbgbase, int depth)
+/* Broken ROM tables can have circular references. Stop after a while */
+#define ROM_TABLE_MAX_DEPTH (16)
+
+/* TODO: these prototypes will be removed in a following patch */
+static int dap_info_mem_ap_header(struct command_invocation *cmd,
+               int retval, struct adiv5_ap *ap,
+               target_addr_t dbgbase, uint32_t apid);
+static int dap_info_cs_component(struct command_invocation *cmd,
+               int retval, struct cs_component_vals *v, int depth);
+static int dap_info_rom_table_entry(struct command_invocation *cmd,
+               int retval, int depth,
+               unsigned int offset, uint32_t romentry);
+
+static int rtp_cs_component(struct command_invocation *cmd,
+               struct adiv5_ap *ap, target_addr_t dbgbase, int depth);
+
+static int rtp_rom_loop(struct command_invocation *cmd,
+               struct adiv5_ap *ap, target_addr_t base_address, int depth,
+               unsigned int max_entries)
 {
-       int retval;
-       uint64_t pid;
-       uint32_t cid;
-       char tabs[16] = "";
+       assert(IS_ALIGNED(base_address, ARM_CS_ALIGN));
 
-       if (depth > 16) {
-               command_print(cmd, "\tTables too deep");
-               return ERROR_FAIL;
-       }
+       unsigned int offset = 0;
+       while (max_entries--) {
+               uint32_t romentry;
+               unsigned int saved_offset = offset;
 
-       if (depth)
-               snprintf(tabs, sizeof(tabs), "[L%02d] ", depth);
+               int retval = mem_ap_read_atomic_u32(ap, base_address + offset, &romentry);
+               offset += 4;
+               if (retval != ERROR_OK)
+                       LOG_DEBUG("Failed read ROM table entry");
 
-       target_addr_t base_addr = dbgbase & 0xFFFFFFFFFFFFF000ull;
-       command_print(cmd, "\t\tComponent base address " TARGET_ADDR_FMT, base_addr);
+               retval = dap_info_rom_table_entry(cmd, retval, depth, saved_offset, romentry);
+               if (retval != ERROR_OK)
+                       return retval;
 
-       retval = dap_read_part_id(ap, base_addr, &cid, &pid);
-       if (retval != ERROR_OK) {
-               command_print(cmd, "\t\tCan't read component, the corresponding core might be turned off");
-               return ERROR_OK; /* Don't abort recursion */
-       }
+               if (romentry == 0) {
+                       /* End of ROM table */
+                       break;
+               }
 
-       if (!is_valid_arm_cs_cidr(cid)) {
-               command_print(cmd, "\t\tInvalid CID 0x%08" PRIx32, cid);
-               return ERROR_OK; /* Don't abort recursion */
+               if (!(romentry & ARM_CS_ROMENTRY_PRESENT))
+                       continue;
+
+               /* Recurse. "romentry" is signed */
+               target_addr_t component_base = base_address + (int32_t)(romentry & ARM_CS_ROMENTRY_OFFSET_MASK);
+               retval = rtp_cs_component(cmd, ap, component_base, depth + 1);
+               if (retval != ERROR_OK) {
+                       /* TODO: do we need to send an ABORT before continuing? */
+                       LOG_DEBUG("Ignore error parsing CoreSight component");
+                       continue;
+               }
        }
 
-       /* component may take multiple 4K pages */
-       uint32_t size = ARM_CS_PIDR_SIZE(pid);
-       if (size > 0)
-               command_print(cmd, "\t\tStart address " TARGET_ADDR_FMT, base_addr - 0x1000 * size);
+       return ERROR_OK;
+}
 
-       command_print(cmd, "\t\tPeripheral ID 0x%010" PRIx64, pid);
+static int rtp_cs_component(struct command_invocation *cmd,
+               struct adiv5_ap *ap, target_addr_t base_address, int depth)
+{
+       struct cs_component_vals v;
+       int retval;
 
-       const unsigned int class = (cid & ARM_CS_CIDR_CLASS_MASK) >> ARM_CS_CIDR_CLASS_SHIFT;
-       const unsigned int part_num = ARM_CS_PIDR_PART(pid);
-       unsigned int designer_id = ARM_CS_PIDR_DESIGNER(pid);
+       assert(IS_ALIGNED(base_address, ARM_CS_ALIGN));
 
-       if (pid & ARM_CS_PIDR_JEDEC) {
-               /* JEP106 code */
-               command_print(cmd, "\t\tDesigner is 0x%03x, %s",
-                               designer_id, jep106_manufacturer(designer_id));
-       } else {
-               /* Legacy ASCII ID, clear invalid bits */
-               designer_id &= 0x7f;
-               command_print(cmd, "\t\tDesigner ASCII code 0x%02x, %s",
-                               designer_id, designer_id == 0x41 ? "ARM" : "<unknown>");
-       }
+       if (depth > ROM_TABLE_MAX_DEPTH)
+               retval = ERROR_FAIL;
+       else
+               retval = rtp_read_cs_regs(ap, base_address, &v);
 
-       const struct dap_part_nums *partnum = pidr_to_part_num(designer_id, part_num);
-       command_print(cmd, "\t\tPart is 0x%03x, %s %s", part_num, partnum->type, partnum->full);
-       command_print(cmd, "\t\tComponent class is 0x%x, %s", class, class_description[class]);
+       retval = dap_info_cs_component(cmd, retval, &v, depth);
+       if (retval != ERROR_OK)
+               return ERROR_OK; /* Don't abort recursion */
 
-       if (class == ARM_CS_CLASS_0X1_ROM_TABLE) {
-               uint32_t memtype;
-               retval = mem_ap_read_atomic_u32(ap, base_addr + ARM_CS_C1_MEMTYPE, &memtype);
-               if (retval != ERROR_OK)
-                       return retval;
+       if (!is_valid_arm_cs_cidr(v.cid))
+               return ERROR_OK; /* Don't abort recursion */
 
-               if (memtype & ARM_CS_C1_MEMTYPE_SYSMEM_MASK)
-                       command_print(cmd, "\t\tMEMTYPE system memory present on bus");
-               else
-                       command_print(cmd, "\t\tMEMTYPE system memory not present: dedicated debug bus");
+       const unsigned int class = ARM_CS_CIDR_CLASS(v.cid);
 
-               /* Read ROM table entries from base address until we get 0x00000000 or reach the reserved area */
-               for (uint16_t entry_offset = 0; entry_offset < 0xF00; entry_offset += 4) {
-                       uint32_t romentry;
-                       retval = mem_ap_read_atomic_u32(ap, base_addr | entry_offset, &romentry);
-                       if (retval != ERROR_OK)
-                               return retval;
-                       command_print(cmd, "\t%sROMTABLE[0x%x] = 0x%" PRIx32 "",
-                                       tabs, entry_offset, romentry);
-                       if (romentry & ARM_CS_ROMENTRY_PRESENT) {
-                               /* Recurse. "romentry" is signed */
-                               retval = dap_rom_display(cmd, ap, base_addr + (int32_t)(romentry & ARM_CS_ROMENTRY_OFFSET_MASK),
-                                                                                depth + 1);
-                               if (retval != ERROR_OK)
-                                       return retval;
-                       } else if (romentry != 0) {
-                               command_print(cmd, "\t\tComponent not present");
-                       } else {
-                               command_print(cmd, "\t%s\tEnd of ROM table", tabs);
-                               break;
-                       }
-               }
-       } else if (class == ARM_CS_CLASS_0X9_CS_COMPONENT) {
-               uint32_t devtype;
-               retval = mem_ap_read_atomic_u32(ap, base_addr + ARM_CS_C9_DEVTYPE, &devtype);
-               if (retval != ERROR_OK)
-                       return retval;
+       if (class == ARM_CS_CLASS_0X1_ROM_TABLE)
+               return rtp_rom_loop(cmd, ap, base_address, depth, 960);
 
-               retval = dap_devtype_display(cmd, devtype);
-               if (retval != ERROR_OK)
-                       return retval;
+       if (class == ARM_CS_CLASS_0X9_CS_COMPONENT) {
+               if ((v.devarch & ARM_CS_C9_DEVARCH_PRESENT) == 0)
+                       return ERROR_OK;
 
-               /* REVISIT also show ARM_CS_C9_DEVID */
+               /* quit if not ROM table */
+               if ((v.devarch & DEVARCH_ID_MASK) != DEVARCH_ROM_C_0X9)
+                       return ERROR_OK;
+
+               return rtp_rom_loop(cmd, ap, base_address, depth, 512);
        }
 
+       /* Class other than 0x1 and 0x9 */
        return ERROR_OK;
 }
 
@@ -1518,13 +1585,48 @@ int dap_info_command(struct command_invocation *cmd,
        int retval;
        uint32_t apid;
        target_addr_t dbgbase;
-       target_addr_t dbgaddr;
+       target_addr_t invalid_entry;
 
        /* Now we read ROM table ID registers, ref. ARM IHI 0029B sec  */
        retval = dap_get_debugbase(ap, &dbgbase, &apid);
+       retval = dap_info_mem_ap_header(cmd, retval, ap, dbgbase, apid);
        if (retval != ERROR_OK)
                return retval;
 
+       if (apid == 0)
+               return ERROR_FAIL;
+
+       /* NOTE: a MEM-AP may have a single CoreSight component that's
+        * not a ROM table ... or have no such components at all.
+        */
+       const unsigned int class = (apid & AP_REG_IDR_CLASS_MASK) >> AP_REG_IDR_CLASS_SHIFT;
+
+       if (class == AP_REG_IDR_CLASS_MEM_AP) {
+               if (is_64bit_ap(ap))
+                       invalid_entry = 0xFFFFFFFFFFFFFFFFull;
+               else
+                       invalid_entry = 0xFFFFFFFFul;
+
+               if (dbgbase != invalid_entry && (dbgbase & 0x3) != 0x2)
+                       rtp_cs_component(cmd, ap, dbgbase & 0xFFFFFFFFFFFFF000ull, 0);
+       }
+
+       return ERROR_OK;
+}
+
+/* Actions for command "dap info" */
+
+static int dap_info_mem_ap_header(struct command_invocation *cmd,
+               int retval, struct adiv5_ap *ap,
+               target_addr_t dbgbase, uint32_t apid)
+{
+       target_addr_t invalid_entry;
+
+       if (retval != ERROR_OK) {
+               command_print(cmd, "\t\tCan't read MEM-AP, the corresponding core might be turned off");
+               return retval;
+       }
+
        command_print(cmd, "AP ID register 0x%8.8" PRIx32, apid);
        if (apid == 0) {
                command_print(cmd, "No AP found at this ap 0x%x", ap->ap_num);
@@ -1540,22 +1642,136 @@ int dap_info_command(struct command_invocation *cmd,
 
        if (class == AP_REG_IDR_CLASS_MEM_AP) {
                if (is_64bit_ap(ap))
-                       dbgaddr = 0xFFFFFFFFFFFFFFFFull;
+                       invalid_entry = 0xFFFFFFFFFFFFFFFFull;
                else
-                       dbgaddr = 0xFFFFFFFFul;
+                       invalid_entry = 0xFFFFFFFFul;
 
                command_print(cmd, "MEM-AP BASE " TARGET_ADDR_FMT, dbgbase);
 
-               if (dbgbase == dbgaddr || (dbgbase & 0x3) == 0x2) {
+               if (dbgbase == invalid_entry || (dbgbase & 0x3) == 0x2) {
                        command_print(cmd, "\tNo ROM table present");
                } else {
                        if (dbgbase & 0x01)
                                command_print(cmd, "\tValid ROM table present");
                        else
                                command_print(cmd, "\tROM table in legacy format");
+               }
+       }
+
+       return ERROR_OK;
+}
+
+static int dap_info_cs_component(struct command_invocation *cmd,
+               int retval, struct cs_component_vals *v, int depth)
+{
+       if (depth > ROM_TABLE_MAX_DEPTH) {
+               command_print(cmd, "\tTables too deep");
+               return ERROR_FAIL;
+       }
+
+       command_print(cmd, "\t\tComponent base address " TARGET_ADDR_FMT, v->component_base);
+
+       if (retval != ERROR_OK) {
+               command_print(cmd, "\t\tCan't read component, the corresponding core might be turned off");
+               return retval;
+       }
 
-                       dap_rom_display(cmd, ap, dbgbase & 0xFFFFFFFFFFFFF000ull, 0);
+       if (!is_valid_arm_cs_cidr(v->cid)) {
+               command_print(cmd, "\t\tInvalid CID 0x%08" PRIx32, v->cid);
+               return ERROR_OK; /* Don't abort recursion */
+       }
+
+       /* component may take multiple 4K pages */
+       uint32_t size = ARM_CS_PIDR_SIZE(v->pid);
+       if (size > 0)
+               command_print(cmd, "\t\tStart address " TARGET_ADDR_FMT, v->component_base - 0x1000 * size);
+
+       command_print(cmd, "\t\tPeripheral ID 0x%010" PRIx64, v->pid);
+
+       const unsigned int part_num = ARM_CS_PIDR_PART(v->pid);
+       unsigned int designer_id = ARM_CS_PIDR_DESIGNER(v->pid);
+
+       if (v->pid & ARM_CS_PIDR_JEDEC) {
+               /* JEP106 code */
+               command_print(cmd, "\t\tDesigner is 0x%03x, %s",
+                               designer_id, jep106_manufacturer(designer_id));
+       } else {
+               /* Legacy ASCII ID, clear invalid bits */
+               designer_id &= 0x7f;
+               command_print(cmd, "\t\tDesigner ASCII code 0x%02x, %s",
+                               designer_id, designer_id == 0x41 ? "ARM" : "<unknown>");
+       }
+
+       const struct dap_part_nums *partnum = pidr_to_part_num(designer_id, part_num);
+       command_print(cmd, "\t\tPart is 0x%03x, %s %s", part_num, partnum->type, partnum->full);
+
+       const unsigned int class = ARM_CS_CIDR_CLASS(v->cid);
+       command_print(cmd, "\t\tComponent class is 0x%x, %s", class, class_description[class]);
+
+       if (class == ARM_CS_CLASS_0X1_ROM_TABLE) {
+               if (v->devtype_memtype & ARM_CS_C1_MEMTYPE_SYSMEM_MASK)
+                       command_print(cmd, "\t\tMEMTYPE system memory present on bus");
+               else
+                       command_print(cmd, "\t\tMEMTYPE system memory not present: dedicated debug bus");
+               return ERROR_OK;
+       }
+
+       if (class == ARM_CS_CLASS_0X9_CS_COMPONENT) {
+               dap_devtype_display(cmd, v->devtype_memtype);
+
+               /* REVISIT also show ARM_CS_C9_DEVID */
+
+               if ((v->devarch & ARM_CS_C9_DEVARCH_PRESENT) == 0)
+                       return ERROR_OK;
+
+               unsigned int architect_id = ARM_CS_C9_DEVARCH_ARCHITECT(v->devarch);
+               unsigned int revision = ARM_CS_C9_DEVARCH_REVISION(v->devarch);
+               command_print(cmd, "\t\tDev Arch is 0x%08" PRIx32 ", %s \"%s\" rev.%u", v->devarch,
+                               jep106_manufacturer(architect_id), class0x9_devarch_description(v->devarch),
+                               revision);
+
+               if ((v->devarch & DEVARCH_ID_MASK) == DEVARCH_ROM_C_0X9) {
+                       command_print(cmd, "\t\tType is ROM table");
+
+                       if (v->devid & ARM_CS_C9_DEVID_SYSMEM_MASK)
+                               command_print(cmd, "\t\tMEMTYPE system memory present on bus");
+                       else
+                               command_print(cmd, "\t\tMEMTYPE system memory not present: dedicated debug bus");
                }
+               return ERROR_OK;
+       }
+
+       /* Class other than 0x1 and 0x9 */
+       return ERROR_OK;
+}
+
+static int dap_info_rom_table_entry(struct command_invocation *cmd,
+               int retval, int depth,
+               unsigned int offset, uint32_t romentry)
+{
+       char tabs[16] = "";
+
+       if (depth)
+               snprintf(tabs, sizeof(tabs), "[L%02d] ", depth);
+
+       if (retval != ERROR_OK) {
+               command_print(cmd, "\t%sROMTABLE[0x%x] Read error", tabs, offset);
+               command_print(cmd, "\t\tUnable to continue");
+               command_print(cmd, "\t%s\tStop parsing of ROM table", tabs);
+               return retval;
+       }
+
+       command_print(cmd, "\t%sROMTABLE[0x%x] = 0x%08" PRIx32,
+                       tabs, offset, romentry);
+
+       if (romentry == 0) {
+               command_print(cmd, "\t%s\tEnd of ROM table", tabs);
+               return ERROR_OK;
+       }
+
+       if (!(romentry & ARM_CS_ROMENTRY_PRESENT)) {
+               command_print(cmd, "\t\tComponent not present");
+               return ERROR_OK;
        }
 
        return ERROR_OK;

Linking to existing account procedure

If you already have an account and want to add another login method you MUST first sign in with your existing account and then change URL to read https://review.openocd.org/login/?link to get to this page again but this time it'll work for linking. Thank you.

SSH host keys fingerprints

1024 SHA256:YKx8b7u5ZWdcbp7/4AeXNaqElP49m6QrwfXaqQGJAOk gerrit-code-review@openocd.zylin.com (DSA)
384 SHA256:jHIbSQa4REvwCFG4cq5LBlBLxmxSqelQPem/EXIrxjk gerrit-code-review@openocd.org (ECDSA)
521 SHA256:UAOPYkU9Fjtcao0Ul/Rrlnj/OsQvt+pgdYSZ4jOYdgs gerrit-code-review@openocd.org (ECDSA)
256 SHA256:A13M5QlnozFOvTllybRZH6vm7iSt0XLxbA48yfc2yfY gerrit-code-review@openocd.org (ECDSA)
256 SHA256:spYMBqEYoAOtK7yZBrcwE8ZpYt6b68Cfh9yEVetvbXg gerrit-code-review@openocd.org (ED25519)
+--[ED25519 256]--+
|=..              |
|+o..   .         |
|*.o   . .        |
|+B . . .         |
|Bo. = o S        |
|Oo.+ + =         |
|oB=.* = . o      |
| =+=.+   + E     |
|. .=o   . o      |
+----[SHA256]-----+
2048 SHA256:0Onrb7/PHjpo6iVZ7xQX2riKN83FJ3KGU0TvI0TaFG4 gerrit-code-review@openocd.zylin.com (RSA)