X-Git-Url: https://review.openocd.org/gitweb?p=openocd.git;a=blobdiff_plain;f=tcl%2Ftarget%2Ficepick.cfg;fp=tcl%2Ftarget%2Ficepick.cfg;h=cc824ad0e951d45e5fe3f4135aeb78e5dbb2e230;hp=cdf4f24f4f969ec98063a9ad85e5a919d571b53e;hb=64d89d5ee1a554fbae8eb0a7231ccb2dc4428c1a;hpb=f855fdcf0d95ff9ba18a83f9a97d5368844d4f2c diff --git a/tcl/target/icepick.cfg b/tcl/target/icepick.cfg index cdf4f24f4f..cc824ad0e9 100644 --- a/tcl/target/icepick.cfg +++ b/tcl/target/icepick.cfg @@ -54,8 +54,8 @@ proc icepick_c_disconnect {jrc} { proc icepick_c_router {jrc rw block register payload} { set new_dr_value \ - [expr ( ($rw & 0x1) << 31) | ( ($block & 0x7) << 28) | \ - ( ($register & 0xF) << 24) | ( $payload & 0xFFFFFF ) ] + [expr { ( ($rw & 0x1) << 31) | ( ($block & 0x7) << 28) | \ + ( ($register & 0xF) << 24) | ( $payload & 0xFFFFFF ) } ] # echo "\tNew router value:\t0x[format %x $new_dr_value]"