jtag: fix minor typos 67/5767/2
authorAntonio Borneo <borneo.antonio@gmail.com>
Sun, 12 Jul 2020 21:40:41 +0000 (23:40 +0200)
committerAntonio Borneo <borneo.antonio@gmail.com>
Sun, 26 Jul 2020 19:14:37 +0000 (20:14 +0100)
Change-Id: I3a3370db438f8fd045fb22e7c9fff4e83794a3b7
Signed-off-by: Antonio Borneo <borneo.antonio@gmail.com>
Reviewed-on: http://openocd.zylin.com/5767
Tested-by: jenkins
31 files changed:
src/jtag/drivers/OpenULINK/include/io.h
src/jtag/drivers/OpenULINK/src/jtag.c
src/jtag/drivers/OpenULINK/src/protocol.c
src/jtag/drivers/OpenULINK/src/usb.c
src/jtag/drivers/bitq.c
src/jtag/drivers/buspirate.c
src/jtag/drivers/cmsis_dap_usb.c
src/jtag/drivers/jlink.c
src/jtag/drivers/jtag_vpi.c
src/jtag/drivers/kitprog.c
src/jtag/drivers/osbdm.c
src/jtag/drivers/presto.c
src/jtag/drivers/rlink.c
src/jtag/drivers/rshim.c
src/jtag/drivers/stlink_usb.c
src/jtag/drivers/sysfsgpio.c
src/jtag/drivers/ulink.c
src/jtag/drivers/usb_blaster/README.CheapClone
src/jtag/drivers/usb_blaster/ublast2_access_libusb.c
src/jtag/drivers/usb_blaster/usb_blaster.c
src/jtag/drivers/usbprog.c
src/jtag/drivers/versaloon/usbtoxxx/usbtoxxx_internal.h
src/jtag/drivers/versaloon/versaloon.c
src/jtag/drivers/versaloon/versaloon_include.h
src/jtag/drivers/xds110.c
src/jtag/drivers/xlnx-pcie-xvc.c
src/jtag/hla/hla_interface.c
src/jtag/interface.h
src/jtag/jtag.h
src/jtag/minidriver.h
src/jtag/startup.tcl

index a4a8b8acd72ab5c3589afb0f6ed1288ba3e6ae9d..497c235a25bc8e5145c6a6f7b0278342da418b5b 100644 (file)
@@ -74,7 +74,7 @@
 #define PIN_RXD0      PINC0
 #define PIN_TXD0      OUTC1
 #define PIN_RESET_2   PINC2
-/* PC3 Not Connecte */
+/* PC3 Not Connected */
 /* PC4 Not Connected */
 #define PIN_RTCK      PINC5
 #define PIN_WR        OUTC6
index 41394556608cccb2e08cefaefa0569e5848b9b8f..ecf98a08c3c74ef8dafe02794774013eb7c1fe41 100644 (file)
@@ -208,7 +208,7 @@ void jtag_slow_scan_in(uint8_t out_offset, uint8_t in_offset)
  *
  * Data stored in EP2 OUT buffer is shifted into the JTAG chain via TDI, TDO
  * data is not sampled.
- * The TAP-FSM state is alyways left in the PAUSE-DR/PAUSE-IR state.
+ * The TAP-FSM state is always left in the PAUSE-DR/PAUSE-IR state.
  *
  * Maximum achievable TCK frequency is 142 kHz for ULINK clocked at 24 MHz.
  *
@@ -283,7 +283,7 @@ void jtag_scan_out(uint8_t out_offset)
  *
  * Data stored in EP2 OUT buffer is shifted into the JTAG chain via TDI, TDO
  * data is not sampled.
- * The TAP-FSM state is alyways left in the PAUSE-DR/PAUSE-IR state.
+ * The TAP-FSM state is always left in the PAUSE-DR/PAUSE-IR state.
  *
  * Maximum achievable TCK frequency is 97 kHz for ULINK clocked at 24 MHz.
  *
@@ -368,7 +368,7 @@ void jtag_slow_scan_out(uint8_t out_offset)
  *
  * Data stored in EP2 OUT buffer is shifted into the JTAG chain via TDI, TDO
  * data is sampled and stored in the EP2 IN buffer.
- * The TAP-FSM state is alyways left in the PAUSE-DR/PAUSE-IR state.
+ * The TAP-FSM state is always left in the PAUSE-DR/PAUSE-IR state.
  *
  * Maximum achievable TCK frequency is 100 kHz for ULINK clocked at 24 MHz.
  *
@@ -460,7 +460,7 @@ void jtag_scan_io(uint8_t out_offset, uint8_t in_offset)
  *
  * Data stored in EP2 OUT buffer is shifted into the JTAG chain via TDI, TDO
  * data is sampled and stored in the EP2 IN buffer.
- * The TAP-FSM state is alyways left in the PAUSE-DR/PAUSE-IR state.
+ * The TAP-FSM state is always left in the PAUSE-DR/PAUSE-IR state.
  *
  * Maximum achievable TCK frequency is 78 kHz for ULINK clocked at 24 MHz.
  *
@@ -562,7 +562,7 @@ void jtag_slow_scan_io(uint8_t out_offset, uint8_t in_offset)
  *
  * Maximum achievable TCK frequency is 375 kHz for ULINK clocked at 24 MHz.
  *
- * @param count number of TCK clock cyclces to generate.
+ * @param count number of TCK clock cycles to generate.
  */
 void jtag_clock_tck(uint16_t count)
 {
@@ -578,9 +578,9 @@ void jtag_clock_tck(uint16_t count)
 /**
  * Generate TCK clock cycles at variable frequency.
  *
- * Maximum achieveable TCK frequency is 166.6 kHz for ULINK clocked at 24 MHz.
+ * Maximum achievable TCK frequency is 166.6 kHz for ULINK clocked at 24 MHz.
  *
- * @param count number of TCK clock cyclces to generate.
+ * @param count number of TCK clock cycles to generate.
  */
 void jtag_slow_clock_tck(uint16_t count)
 {
index 901f524826869251273355af757aa5360e1a1368..f8f84ed48aa829d0d11aa16cb1777020523658d1 100644 (file)
@@ -86,7 +86,7 @@ bool execute_command(void)
 
        /* Most commands do not transfer IN data. To save code space, we write 0 to
         * usb_in_bytecount here, then modify it in the switch statement below where
-        * neccessary */
+        * necessary */
        usb_in_bytecount = 0;
 
        switch (OUT2BUF[cmd_id_index] /* Command ID */) {
index fb77f6482c53361810b2f91926c543ec118351c9..032b23b579dd16e9a7c434f54d6fe3e60d07e11b 100644 (file)
@@ -38,7 +38,7 @@ volatile bool EP2_in;
 volatile __xdata __at 0x7FE8 struct setup_data setup_data;
 
 /* Define number of endpoints (except Control Endpoint 0) in a central place.
- * Be sure to include the neccessary endpoint descriptors! */
+ * Be sure to include the necessary endpoint descriptors! */
 #define NUM_ENDPOINTS 2
 
 __code struct usb_device_descriptor device_descriptor = {
index 9b4eeed72c50a2e709a49e2cc6dfce0267aa9715..2241c13a30ae2bd5d5a18a2212e410e5e1c2e749 100644 (file)
@@ -245,7 +245,7 @@ int bitq_execute_queue(void)
                case JTAG_TLR_RESET:
                        LOG_DEBUG_IO("statemove end in %i", cmd->cmd.statemove->end_state);
                        bitq_end_state(cmd->cmd.statemove->end_state);
-                       bitq_state_move(tap_get_end_state());   /* uncoditional TAP move */
+                       bitq_state_move(tap_get_end_state());   /* unconditional TAP move */
                        break;
 
                case JTAG_PATHMOVE:
index 020c4ce39025a713cde181ff59f9aa5711c7a302..a6ffe35f57ae6d9e8ffa1e1f2eb5d5578296a94b 100644 (file)
@@ -1049,7 +1049,7 @@ static void buspirate_jtag_reset(int fd)
        tmp[0] = 0x00; /* exit OCD1 mode */
        buspirate_serial_write(fd, tmp, 1);
        usleep(10000);
-       /* We ignore the return value here purposly, nothing we can do */
+       /* We ignore the return value here on purpose, nothing we can do */
        buspirate_serial_read(fd, tmp, 5);
        if (strncmp((char *)tmp, "BBIO1", 5) == 0) {
                tmp[0] = 0x0F; /*  reset BP */
index d87d0c9a596b527b6f8a65f9821665b0e1a5d740..efd039af630d981f8cf00b853bb42cfd961f0390 100644 (file)
@@ -1003,7 +1003,7 @@ static int cmsis_dap_init(void)
                LOG_INFO("CMSIS-DAP: Interface Initialised (JTAG)");
        }
 
-       /* Be conservative and supress submiting multiple HID requests
+       /* Be conservative and suppress submitting multiple HID requests
         * until we get packet count info from the adaptor */
        cmsis_dap_handle->packet_count = 1;
        pending_queue_len = 12;
index 1baf3454e3f3aae3adfaf9e5519e8b82a7dc5995..91ecf56e15b89aad44121a2b066162b738ce56d1 100644 (file)
@@ -1807,7 +1807,7 @@ COMMAND_HANDLER(jlink_handle_emucom_read_command)
                return ERROR_FAIL;
        } else if (ret == JAYLINK_ERR_DEV_NOT_AVAILABLE) {
                LOG_ERROR("Channel is not available for the requested amount of data. "
-                       "%" PRIu32 " bytes are avilable.", length);
+                       "%" PRIu32 " bytes are available.", length);
                free(buf);
                return ERROR_FAIL;
        } else if (ret != JAYLINK_OK) {
index a5a95a5a34ec9151fb27d23ee72e786dff43b499..a5f441cc7710debecfe1baae577908e4cd9b1653 100644 (file)
@@ -227,7 +227,7 @@ static int jtag_vpi_reset(int trst, int srst)
  * @bits: TMS bits to be written (bit0, bit1 .. bitN)
  * @nb_bits: number of TMS bits (between 1 and 8)
  *
- * Write a serie of TMS transitions, where each transition consists in :
+ * Write a series of TMS transitions, where each transition consists in :
  *  - writing out TCK=0, TMS=<new_state>, TDI=<???>
  *  - writing out TCK=1, TMS=<new_state>, TDI=<???> which triggers the transition
  * The function ensures that at the end of the sequence, the clock (TCK) is put
@@ -253,7 +253,7 @@ static int jtag_vpi_tms_seq(const uint8_t *bits, int nb_bits)
  * jtag_vpi_path_move - ask a TMS sequence transition to JTAG
  * @cmd: path transition
  *
- * Write a serie of TMS transitions, where each transition consists in :
+ * Write a series of TMS transitions, where each transition consists in :
  *  - writing out TCK=0, TMS=<new_state>, TDI=<???>
  *  - writing out TCK=1, TMS=<new_state>, TDI=<???> which triggers the transition
  * The function ensures that at the end of the sequence, the clock (TCK) is put
@@ -392,7 +392,7 @@ static int jtag_vpi_clock_tms(int tms)
  *
  * Launch a JTAG IR-scan or DR-scan
  *
- * Returns ERROR_OK if OK, ERROR_xxx if a read/write error occured.
+ * Returns ERROR_OK if OK, ERROR_xxx if a read/write error occurred.
  */
 static int jtag_vpi_scan(struct scan_command *cmd)
 {
@@ -558,7 +558,7 @@ static int jtag_vpi_init(void)
        serv_addr.sin_addr.s_addr = inet_addr(server_address);
 
        if (serv_addr.sin_addr.s_addr == INADDR_NONE) {
-               LOG_ERROR("inet_addr error occured");
+               LOG_ERROR("inet_addr error occurred");
                return ERROR_FAIL;
        }
 
@@ -569,7 +569,7 @@ static int jtag_vpi_init(void)
        }
 
        if (serv_addr.sin_addr.s_addr == htonl(INADDR_LOOPBACK)) {
-               /* This increases performance drematically for local
+               /* This increases performance dramatically for local
                 * connections, which is the most likely arrangement
                 * for a VPI connection. */
                setsockopt(sockfd, IPPROTO_TCP, TCP_NODELAY, (char *)&flag, sizeof(int));
index efb8da2cd20968d0ed3196dc9fe9a7a6200aa8c7..4f38d195d57f93a6a197a1c6557f66ff84053411 100644 (file)
@@ -606,7 +606,7 @@ static int kitprog_generic_acquire(void)
                for (uint8_t j = 0; j < sizeof(devices) && acquire_count == i; j++) {
                        retval = kitprog_acquire_psoc(devices[j], ACQUIRE_MODE_RESET, 3);
                        if (retval != ERROR_OK) {
-                               LOG_DEBUG("Aquisition function failed for device 0x%02x.", devices[j]);
+                               LOG_DEBUG("Acquisition function failed for device 0x%02x.", devices[j]);
                                return retval;
                        }
 
@@ -746,7 +746,7 @@ static int kitprog_swd_run_queue(void)
                 * size (64 bytes) as required by the USB specification.
                 * Therefore libusb would wait for continuation of transmission.
                 * Workaround: Limit bulk read size to expected number of bytes
-                * for problematic tranfer sizes. Otherwise use the maximum buffer
+                * for problematic transfer sizes. Otherwise use the maximum buffer
                 * size here because the KitProg sometimes doesn't like bulk reads
                 * of fewer than 62 bytes. (?!?!)
                 */
index dc236660e227593774dc6bb2b71b11c26b0bf27f..5c43d32445115914f1a63edce78f5d40790529ec 100644 (file)
@@ -274,7 +274,7 @@ static int osbdm_swap(struct osbdm *osbdm, void *tms, void *tdi,
                return ERROR_FAIL;
        }
 
-       /* Copy TDO responce
+       /* Copy TDO response
         */
        uint8_t *buffer = osbdm->buffer + 4;
        for (int bit_idx = 0; bit_idx < length; ) {
index 3849a27f920f8467f874a31dc62c38ad4fd9c04b..4046b22dd744cb208a6f3e6603264ceb3898d24b 100644 (file)
@@ -351,7 +351,7 @@ static int presto_bitq_out(int tms, int tdi, int tdo_req)
        unsigned char cmd;
 
        if (presto->jtag_tck == 0)
-               presto_sendbyte(0xA4);  /* LED idicator - JTAG active */
+               presto_sendbyte(0xA4);  /* LED indicator - JTAG active */
        else if (presto->jtag_speed == 0 && !tdo_req && tms == presto->jtag_tms) {
                presto->jtag_tdi_data |= (tdi != 0) << presto->jtag_tdi_count;
 
@@ -392,7 +392,7 @@ static int presto_bitq_flush(void)
        presto_tdi_flush();
        presto_tck_idle();
 
-       presto_sendbyte(0xA0);  /* LED idicator - JTAG idle */
+       presto_sendbyte(0xA0);  /* LED indicator - JTAG idle */
 
        return presto_flush();
 }
index e0533997c2f38bc8f5f399a993a88284b86d97b0..28435646633e4c4f5c7114fea63258f8645310a4 100644 (file)
@@ -564,7 +564,7 @@ static struct {
 } dtc_queue;
 
 /*
- * The tap state queue is for accumulating TAP state changes wiithout needlessly
+ * The tap state queue is for accumulating TAP state changes without needlessly
  * flushing the dtc_queue.  When it fills or is run, it adds the accumulated bytes to
  * the dtc_queue.
  */
@@ -1358,7 +1358,7 @@ static int rlink_execute_queue(void)
                retval = tmp_retval;
 
 #ifndef AUTOMATIC_BUSY_LED
-       /* turn LED onff */
+       /* turn LED off */
        ep1_generic_commandl(pHDev, 2,
                EP1_CMD_SET_PORTD_LEDS,
                ~0
index c718af5d28f4933ca1e06441eda16a26da12820d..246e931c22fed04bfc1a8231a7e73b2ecd3a93b7 100644 (file)
@@ -409,7 +409,7 @@ static int rshim_connect(struct adiv5_dap *dap)
        }
 
        /*
-        * Set read/write operation via the device file. Funtion pointers
+        * Set read/write operation via the device file. Function pointers
         * are used here so more ways like remote accessing via socket could
         * be added later.
         */
index 72975d5accca723c5c02c65a6e5bbfe236a884f6..6ae9e3e817d54931b34fc7cdf53d8a44a7a347b1 100644 (file)
@@ -218,7 +218,7 @@ struct stlink_usb_handle_s {
        uint32_t address
 
        STLINK_SWIM_RESET
-       send syncronization seq (16us low, response 64 clocks low)
+       send synchronization seq (16us low, response 64 clocks low)
 */
 #define STLINK_SWIM_ENTER                  0x00
 #define STLINK_SWIM_EXIT                   0x01
@@ -1465,7 +1465,7 @@ static int stlink_swim_status(void *handle)
 }
 /*
        the purpose of this function is unknown...
-       capabilites? anyway for swim v6 it returns
+       capabilities? anyway for swim v6 it returns
        0001020600000000
 */
 __attribute__((unused))
@@ -1559,7 +1559,7 @@ static int stlink_swim_generate_rst(void *handle)
 }
 
 /*
-       send resyncronize sequence
+       send resynchronize sequence
        swim is pulled low for 16us
        reply is 64 clks low
 */
index e16076942065f1f099bc99da09263bb4fb647da0..78a4c5b77e9928165adef0656be601463570ec48 100644 (file)
@@ -29,7 +29,7 @@
  *
  * A gpio is required for tck, tms, tdi and tdo. One or both of srst and trst
  * must be also be specified. The required jtag gpios are specified via the
- * sysfsgpio_jtag_nums command or the relevant sysfsgpio_XXX_num commang.
+ * sysfsgpio_jtag_nums command or the relevant sysfsgpio_XXX_num commands.
  * The srst and trst gpios are set via the sysfsgpio_srst_num and
  * sysfsgpio_trst_num respectively. GPIO numbering follows the kernel
  * convention of starting from 0.
index 242c04fe3416b322066a5d1e8aed7f59fedad5c0..68249dcfe678e1ee55ae2ccfccbc1cc6e27cf580 100644 (file)
@@ -1271,7 +1271,7 @@ int ulink_append_test_cmd(struct ulink *device)
  *   1. Maximum possible frequency without any artificial delay
  *   2. Variable frequency with artificial linear delay loop
  *
- * To set the ULINK to maximum frequency, it is only neccessary to use the
+ * To set the ULINK to maximum frequency, it is only necessary to use the
  * corresponding command IDs. To set the ULINK to a lower frequency, the
  * delay loop top values have to be calculated first. Then, a
  * CMD_CONFIGURE_TCK_FREQ command needs to be sent to the ULINK device.
index 983a441a163ff346098b54384c7ba3ebd5753ce8..bd048d5cafe3f8610155bf7b2a06175d98a69528 100644 (file)
@@ -47,11 +47,11 @@ Pinout
 
 Throughput considerations
 =========================
-Mesurements on a scope reveal that :
- - for bitbang mode, the throughtput is 56.5 kbits/s
-   (as each clock transition is mesured at 17.7us)
+Measurements on a scope reveal that :
+ - for bitbang mode, the throughput is 56.5 kbits/s
+   (as each clock transition is measured at 17.7us)
  - for byteshift mode, the throughput is 107.7 kbits/s
-   (as 63 bits TDI transmission is mesured in 585 us)
+   (as 63 bits TDI transmission is measured in 585 us)
 
 Let's suppose that to upload a 32 bits value, it is necessary to :
  - move from IDLE to DR-SHIFT : 3 bitbang (3 TMS transitions)
@@ -62,8 +62,8 @@ So for this 32 bits of data, the time would be :
  = 53.1us + 222us + 88.5us
  = 363us
 
-Throughtput in bit/s: 32 * (1 / 363E-6) = 88000 bits/s
-Throughtput in bytes/s: 11kBytes/s
+Throughput in bit/s: 32 * (1 / 363E-6) = 88000 bits/s
+Throughput in bytes/s: 11kBytes/s
 
 Conclusion
 ==========
index e2556cec079320b9c9076f9b0b03f430f6d8409e..f8ff66e2fb300a898c5c71999a44beb95db50f66 100644 (file)
@@ -219,7 +219,7 @@ static int ublast2_libusb_init(struct ublast_lowlevel *low)
                while (jtag_libusb_open(vids_renum, pids_renum, NULL,
                                &low->libusb_dev, NULL) != ERROR_OK && retry--) {
                        usleep(1000000);
-                       LOG_INFO("Waiting for renumerate...");
+                       LOG_INFO("Waiting for reenumerate...");
                }
 
                if (!retry) {
index d30483b630bd1c7f17c041c1ed33bc229bfab259..b5f252164354baa653cf9fa6d1cc6eb48ebd2322 100644 (file)
@@ -366,10 +366,10 @@ static void ublast_idle_clock(void)
  * Output a TDI bit and assert clock to push it into the JTAG device :
  *  - writing out TCK=0, TMS=<old_state>=0, TDI=<tdi>
  * - writing out TCK=1, TMS=<new_state>, TDI=<tdi> which triggers the JTAG
- *    device aquiring the data.
+ *    device acquiring the data.
  *
  * If a TDO is to be read back, the required read is requested (bitbang mode),
- * and the USB Blaster will send back a byte with bit0 reprensenting the TDO.
+ * and the USB Blaster will send back a byte with bit0 representing the TDO.
  */
 static void ublast_clock_tdi(int tdi, enum scan_type type)
 {
@@ -391,7 +391,7 @@ static void ublast_clock_tdi(int tdi, enum scan_type type)
  * @type: scan type (ie. does a readback of TDO is required)
  *
  * This function is the same as ublast_clock_tdi(), but it changes also the TMS
- * while outputing the TDI. This should be the last TDI output of a TDI
+ * while output the TDI. This should be the last TDI output of a TDI
  * sequence, which will change state from :
  *   - IRSHIFT -> IREXIT1
  *   - or DRSHIFT -> DREXIT1
@@ -447,7 +447,7 @@ static void ublast_queue_bytes(uint8_t *bytes, int nb_bytes)
  * @nb_bits: number of TMS bits (between 1 and 8)
  * @skip: number of TMS bits to skip at the beginning of the series
  *
- * Write a serie of TMS transitions, where each transition consists in :
+ * Write a series of TMS transitions, where each transition consists in :
  *  - writing out TCK=0, TMS=<new_state>, TDI=<???>
  *  - writing out TCK=1, TMS=<new_state>, TDI=<???> which triggers the transition
  * The function ensures that at the end of the sequence, the clock (TCK) is put
@@ -477,7 +477,7 @@ static void ublast_tms(struct tms_command *cmd)
  * ublast_path_move - write a TMS sequence transition to JTAG
  * @cmd: path transition
  *
- * Write a serie of TMS transitions, where each transition consists in :
+ * Write a series of TMS transitions, where each transition consists in :
  *  - writing out TCK=0, TMS=<new_state>, TDI=<???>
  *  - writing out TCK=1, TMS=<new_state>, TDI=<???> which triggers the transition
  * The function ensures that at the end of the sequence, the clock (TCK) is put
@@ -534,7 +534,7 @@ static void ublast_state_move(tap_state_t state, int skip)
  * bit0), second bit in (byte0, bit1), ...), which is what we want to return,
  * simply read bytes from USB interface and store them.
  *
- * Returns ERROR_OK if OK, ERROR_xxx if a read error occured
+ * Returns ERROR_OK if OK, ERROR_xxx if a read error occurred
  */
 static int ublast_read_byteshifted_tdos(uint8_t *buf, int nb_bytes)
 {
@@ -564,7 +564,7 @@ static int ublast_read_byteshifted_tdos(uint8_t *buf, int nb_bytes)
  *  - ninth bit is sotred in byte1, bit 0
  *  - etc ...
  *
- * Returns ERROR_OK if OK, ERROR_xxx if a read error occured
+ * Returns ERROR_OK if OK, ERROR_xxx if a read error occurred
  */
 static int ublast_read_bitbang_tdos(uint8_t *buf, int nb_bits)
 {
@@ -596,7 +596,7 @@ static int ublast_read_bitbang_tdos(uint8_t *buf, int nb_bits)
  * @nb_bits: number of bits
  * @scan: scan type (ie. if TDO read back is required or not)
  *
- * Outputs a serie of TDI bits on TDI.
+ * Outputs a series of TDI bits on TDI.
  * As a side effect, the last TDI bit is sent along a TMS=1, and triggers a JTAG
  * TAP state shift if input bits were non NULL.
  *
@@ -707,7 +707,7 @@ static void ublast_stableclocks(int cycles)
  *
  * Launch a JTAG IR-scan or DR-scan
  *
- * Returns ERROR_OK if OK, ERROR_xxx if a read/write error occured.
+ * Returns ERROR_OK if OK, ERROR_xxx if a read/write error occurred.
  */
 static int ublast_scan(struct scan_command *cmd)
 {
index 7b27eaff2e6326e24736e6c5e4368a15f6474347..67cad58f4c8e85be882dddbe7b4a41ef354ce74c 100644 (file)
@@ -403,11 +403,11 @@ static void usbprog_jtag_init(struct usbprog_jtag *usbprog_jtag)
 
 static void usbprog_jtag_write_and_read(struct usbprog_jtag *usbprog_jtag, char *buffer, int size)
 {
-       char tmp[64];   /* fastes packet size for usb controller */
+       char tmp[64];   /* fastest packet size for usb controller */
        int send_bits, bufindex = 0, fillindex = 0, i, loops;
 
        char swap;
-       /* 61 byte can be transfered (488 bit) */
+       /* 61 byte can be transferred (488 bit) */
 
        while (size > 0) {
                if (size > 488) {
@@ -449,11 +449,11 @@ static void usbprog_jtag_write_and_read(struct usbprog_jtag *usbprog_jtag, char
 
 static void usbprog_jtag_read_tdo(struct usbprog_jtag *usbprog_jtag, char *buffer, int size)
 {
-       char tmp[64];   /* fastes packet size for usb controller */
+       char tmp[64];   /* fastest packet size for usb controller */
        int send_bits, fillindex = 0, i, loops;
 
        char swap;
-       /* 61 byte can be transfered (488 bit) */
+       /* 61 byte can be transferred (488 bit) */
 
        while (size > 0) {
                if (size > 488) {
@@ -490,10 +490,10 @@ static void usbprog_jtag_read_tdo(struct usbprog_jtag *usbprog_jtag, char *buffe
 
 static void usbprog_jtag_write_tdi(struct usbprog_jtag *usbprog_jtag, char *buffer, int size)
 {
-       char tmp[64];   /* fastes packet size for usb controller */
+       char tmp[64];   /* fastest packet size for usb controller */
        int send_bits, bufindex = 0, i, loops;
 
-       /* 61 byte can be transfered (488 bit) */
+       /* 61 byte can be transferred (488 bit) */
        while (size > 0) {
                if (size > 488) {
                        send_bits = 488;
index e40667e91578dae4dea5ac4ed65d4e70fa0777a3..36988869acf1da02cdf5b6b693363d3cd19196a5 100644 (file)
@@ -76,7 +76,7 @@
 #define USB_TO_POLL_CHECKFAIL                   0x03
 #define USB_TO_POLL_VERIFYBUFF                  0x04
 
-/* USB_TO_XXX Replys */
+/* USB_TO_XXX Replies */
 #define USB_TO_XXX_OK                           0x00
 #define USB_TO_XXX_FAILED                       0x01
 #define USB_TO_XXX_TIME_OUT                     0x02
index 146383961399b9240353dcc2c5368fb948dd0857..35a0deff5ee3cfbf0e222ed3e0c0748921c8ec14 100644 (file)
@@ -248,7 +248,7 @@ RESULT versaloon_init(void)
 
        /* connect to versaloon */
        timeout_tmp = versaloon_usb_to;
-       /* not output error message when connectting */
+       /* not output error message when connecting */
        /* 100ms delay when connect */
        versaloon_usb_to = 100;
        for (retry = 0; retry < VERSALOON_RETRY_CNT; retry++) {
index 089056d0233e28946c48010afeead1653963f8a3..901402520fd9b4465ed1741c58e5334fd42ea684 100644 (file)
@@ -41,7 +41,7 @@
 #define ERRMSG_INVALID_USAGE                           "Invalid usage of %s"
 #define ERRMSG_INVALID_TARGET                          "Invalid %s"
 #define ERRMSG_INVALID_PARAMETER                       "Invalid parameter of %s."
-#define ERRMSG_INVALID_INTERFACE_NUM           "invalid inteface %d"
+#define ERRMSG_INVALID_INTERFACE_NUM           "invalid interface %d"
 #define ERRMSG_INVALID_BUFFER                          "Buffer %s is not valid."
 #define ERRCODE_INVALID_BUFFER                         ERROR_FAIL
 #define ERRCODE_INVALID_PARAMETER                      ERROR_FAIL
index 5e4df93c2d1a2fcfc8c98e9888a00709fafa0862..67c4a3b12990481863de76f8c2537d4ba325a988 100644 (file)
@@ -1129,7 +1129,7 @@ static int xds110_swd_switch_seq(enum swd_special_seq seq)
                xds110.is_cmapi_acquired = false;
                /* Run sequence to put target in SWD mode */
                success = swd_connect();
-               /* Re-iniitialize CMAPI API for DAP access */
+               /* Re-initialize CMAPI API for DAP access */
                if (success) {
                        xds110.is_swd_mode = true;
                        success = cmapi_connect(&idcode);
index 704c1d96b7603ebe6d01d3fbeb2b01b2b5333e6a..43993c897479e2d5670c55e0bbdebcb541c588e6 100644 (file)
@@ -54,7 +54,7 @@ static int xlnx_pcie_xvc_read_reg(const int offset, uint32_t *val)
        uint32_t res;
        int err;
 
-       /* Note: This should be ok endianess-wise because by going
+       /* Note: This should be ok endianness-wise because by going
         * through sysfs the kernel does the conversion in the config
         * space accessor functions
         */
@@ -75,7 +75,7 @@ static int xlnx_pcie_xvc_write_reg(const int offset, const uint32_t val)
 {
        int err;
 
-       /* Note: This should be ok endianess-wise because by going
+       /* Note: This should be ok endianness-wise because by going
         * through sysfs the kernel does the conversion in the config
         * space accessor functions
         */
index 6d5cdc5e7018f3527385808ec585da4a571d1931..7be9b2deaf89ccf482fcce184b6d7900e8e7b5bd 100644 (file)
@@ -312,7 +312,7 @@ static const struct command_registration hl_interface_command_handlers[] = {
         .name = "hla_device_desc",
         .handler = &hl_interface_handle_device_desc_command,
         .mode = COMMAND_CONFIG,
-        .help = "set the device description of the adapter",
+        .help = "set the device description of the adapter",
         .usage = "description_string",
         },
        {
index 42598c1ae8fc558012f9f3fbec325deb89ad1da3..0884868a802ac1fc602c1adb3fb28b96eeacc11b 100644 (file)
@@ -273,7 +273,7 @@ struct adapter_driver {
        int (*speed)(int speed);
 
        /**
-        * Returns JTAG maxium speed for KHz. 0 = RTCK. The function returns
+        * Returns JTAG maximum speed for KHz. 0 = RTCK. The function returns
         *  a failure if it can't support the KHz/RTCK.
         *
         *  WARNING!!!! if RTCK is *slow* then think carefully about
index 9350642ffebb3877dff07a9085e48827b5f05c99..2fa580223a0cfe60ea9a5c266a8c1543849366c4 100644 (file)
@@ -210,11 +210,11 @@ struct jtag_tap_event_action {
 };
 
 /**
- * Defines the function signature requide for JTAG event callback
+ * Defines the function signature required for JTAG event callback
  * functions, which are added with jtag_register_event_callback()
  * and removed jtag_unregister_event_callback().
  * @param event The event to handle.
- * @param prive A pointer to data that was passed to
+ * @param priv A pointer to data that was passed to
  *     jtag_register_event_callback().
  * @returns Must return ERROR_OK on success, or an error code on failure.
  *
@@ -249,7 +249,7 @@ int jtag_config_khz(unsigned khz);
  */
 int jtag_config_rclk(unsigned fallback_speed_khz);
 
-/** Retreives the clock speed of the JTAG interface in KHz. */
+/** Retrieves the clock speed of the JTAG interface in KHz. */
 unsigned jtag_get_speed_khz(void);
 
 enum reset_types {
@@ -407,7 +407,7 @@ void jtag_add_callback(jtag_callback1_t f, jtag_callback_data_t data0);
  * assumptions about what the callback does or what its arguments are.
  * These callbacks are typically executed *after* the *entire* JTAG
  * queue has been executed for e.g. USB interfaces, and they are
- * guaranteeed to be invoked in the order that they were queued.
+ * guaranteed to be invoked in the order that they were queued.
  *
  * If the execution of the queue fails before the callbacks, then --
  * depending on driver implementation -- the callbacks may or may not be
@@ -457,7 +457,7 @@ void jtag_add_tlr(void);
  * path when transitioning to/from end
  * state.
  *
- * A list of unambigious single clock state transitions, not
+ * A list of unambiguous single clock state transitions, not
  * all drivers can support this, but it is required for e.g.
  * XScale and Xilinx support
  *
index 688c396309b455a8d3da2abc1bfc1fac75550cdb..ad830cc1356369a88dacdca3553865594370baeb 100644 (file)
@@ -74,7 +74,7 @@ int interface_add_tms_seq(unsigned num_bits,
  * trst.
  *
  * the higher level jtag_add_reset will invoke jtag_add_tlr() if
- * approperiate
+ * appropriate
  */
 int interface_jtag_add_reset(int trst, int srst);
 int interface_jtag_add_sleep(uint32_t us);
index f8c4ca0bf4c6e727f8d8067d81da1d65de9b6a2e..90b675f180328c8637bcd82fef441f79c6825b27 100644 (file)
@@ -115,7 +115,7 @@ proc jtag_ntrst_assert_width args {
 
 # BEGIN MIGRATION AIDS ...  these adapter operations originally had
 # JTAG-specific names despite the fact that the operations were not
-# specific to JTAG, or otherewise had troublesome/misleading names.
+# specific to JTAG, or otherwise had troublesome/misleading names.
 #
 # FIXME phase these aids out after about April 2011
 #

Linking to existing account procedure

If you already have an account and want to add another login method you MUST first sign in with your existing account and then change URL to read https://review.openocd.org/login/?link to get to this page again but this time it'll work for linking. Thank you.

SSH host keys fingerprints

1024 SHA256:YKx8b7u5ZWdcbp7/4AeXNaqElP49m6QrwfXaqQGJAOk gerrit-code-review@openocd.zylin.com (DSA)
384 SHA256:jHIbSQa4REvwCFG4cq5LBlBLxmxSqelQPem/EXIrxjk gerrit-code-review@openocd.org (ECDSA)
521 SHA256:UAOPYkU9Fjtcao0Ul/Rrlnj/OsQvt+pgdYSZ4jOYdgs gerrit-code-review@openocd.org (ECDSA)
256 SHA256:A13M5QlnozFOvTllybRZH6vm7iSt0XLxbA48yfc2yfY gerrit-code-review@openocd.org (ECDSA)
256 SHA256:spYMBqEYoAOtK7yZBrcwE8ZpYt6b68Cfh9yEVetvbXg gerrit-code-review@openocd.org (ED25519)
+--[ED25519 256]--+
|=..              |
|+o..   .         |
|*.o   . .        |
|+B . . .         |
|Bo. = o S        |
|Oo.+ + =         |
|oB=.* = . o      |
| =+=.+   + E     |
|. .=o   . o      |
+----[SHA256]-----+
2048 SHA256:0Onrb7/PHjpo6iVZ7xQX2riKN83FJ3KGU0TvI0TaFG4 gerrit-code-review@openocd.zylin.com (RSA)