Convert to non-recursive make 65/3865/6
authorAndreas Fritiofson <andreas.fritiofson@gmail.com>
Sun, 6 Nov 2016 19:19:26 +0000 (20:19 +0100)
committerPaul Fertser <fercerpav@gmail.com>
Thu, 8 Dec 2016 16:23:10 +0000 (16:23 +0000)
Change-Id: I11f8bc8553957e2ff083c09e72e16881e4d3bb6f
Signed-off-by: Andreas Fritiofson <andreas.fritiofson@gmail.com>
Reviewed-on: http://openocd.zylin.com/3865
Tested-by: jenkins
Reviewed-by: Paul Fertser <fercerpav@gmail.com>
26 files changed:
.gitignore
Makefile.am
README
common.mk [deleted file]
configure.ac
doc/Makefile.am
src/Makefile.am
src/flash/Makefile.am
src/flash/nand/Makefile.am
src/flash/nor/Makefile.am
src/helper/Makefile.am
src/jtag/Makefile.am
src/jtag/aice/Makefile.am
src/jtag/drivers/Makefile.am
src/jtag/drivers/libusb_common.h
src/jtag/drivers/usb_blaster/Makefile.am
src/jtag/hla/Makefile.am
src/pld/Makefile.am
src/rtos/Makefile.am
src/server/Makefile.am
src/svf/Makefile.am
src/target/Makefile.am
src/target/breakpoints.h
src/target/openrisc/Makefile.am
src/transport/Makefile.am
src/xsvf/Makefile.am

index 0f217a980eaf4b38c2f7ec05e8289f2da89b6cb4..f1021b26380ea9251ef69c3688edbf9a51d1304a 100644 (file)
@@ -52,8 +52,8 @@ doc/openocd.pg
 doc/openocd.toc
 doc/openocd.tp
 doc/openocd.vr
-doc/texinfo.tex
 doc/version.texi
+texinfo.tex
 src/openocd
 src/openocd.exe
 
index 2ddc96d3d39895032abbc6a3f4eb4083b7280ae0..579d3a7ae2c5f3d5449c6ff930f6ab7d0b5c03e3 100644 (file)
@@ -12,15 +12,34 @@ nobase_dist_pkgdata_DATA = \
        contrib/libdcc/README \
        contrib/99-openocd.rules
 
-if INTERNAL_JIMTCL
-SUBDIRS = jimtcl
-else
 SUBDIRS =
+DIST_SUBDIRS =
+bin_PROGRAMS =
+noinst_LTLIBRARIES =
+info_TEXINFOS =
+dist_man_MANS =
+EXTRA_DIST =
+
+if INTERNAL_JIMTCL
+SUBDIRS += jimtcl
+DIST_SUBDIRS += jimtcl
 endif
 
-SUBDIRS += src doc
+# common flags used in openocd build
+AM_CFLAGS = $(GCC_WARNINGS)
+
+AM_CPPFLAGS = $(HOST_CPPFLAGS)\
+                         -I$(top_srcdir)/src \
+                         -I$(top_builddir)/src \
+                         -I$(top_srcdir)/src/helper \
+                         -DPKGDATADIR=\"$(pkgdatadir)\" \
+                         -DBINDIR=\"$(bindir)\"
 
-EXTRA_DIST = \
+if INTERNAL_JIMTCL
+AM_CPPFLAGS += -I$(top_srcdir)/jimtcl \
+                          -I$(top_builddir)/jimtcl
+endif
+EXTRA_DIST += \
        BUGS \
        HACKING \
        NEWTAPS \
@@ -96,17 +115,26 @@ distclean-local:
 
 DISTCLEANFILES = doxygen.log
 
+METASOURCES = AUTO
+
+BUILT_SOURCES =
+CLEANFILES =
+
 MAINTAINERCLEANFILES = \
-       $(srcdir)/INSTALL \
-       $(srcdir)/configure \
-       $(srcdir)/Makefile.in \
-       $(srcdir)/depcomp \
-       $(srcdir)/config.guess \
-       $(srcdir)/config.sub \
-       $(srcdir)/config.h.in \
-       $(srcdir)/config.h.in~ \
-       $(srcdir)/compile \
-       $(srcdir)/ltmain.sh \
-       $(srcdir)/missing \
-       $(srcdir)/aclocal.m4 \
-       $(srcdir)/install-sh
+       %D%/INSTALL \
+       %D%/configure \
+       %D%/Makefile.in \
+       %D%/depcomp \
+       %D%/config.guess \
+       %D%/config.sub \
+       %D%/config.h.in \
+       %D%/config.h.in~ \
+       %D%/compile \
+       %D%/ltmain.sh \
+       %D%/missing \
+       %D%/aclocal.m4 \
+       %D%/install-sh \
+       %D%/texinfo.tex
+
+include src/Makefile.am
+include doc/Makefile.am
diff --git a/README b/README
index 792d7e3941925b5da74e5340c72e246a8dd65745..615ae811aa564d970929536f31d4fcb24abe82bd 100644 (file)
--- a/README
+++ b/README
@@ -214,7 +214,7 @@ You'll also need:
 Additionally, for building from git:
 
 - autoconf >= 2.64
-- automake >= 1.9
+- automake >= 1.14
 - texinfo
 
 USB-based adapters depend on libusb-1.0 and some older drivers require
diff --git a/common.mk b/common.mk
deleted file mode 100644 (file)
index dbacf19..0000000
--- a/common.mk
+++ /dev/null
@@ -1,15 +0,0 @@
-
-# common flags used in openocd build
-AM_CFLAGS = $(GCC_WARNINGS)
-
-AM_CPPFLAGS = $(HOST_CPPFLAGS)\
-                         -I$(top_srcdir)/src \
-                         -I$(top_builddir)/src \
-                         -I$(top_srcdir)/src/helper \
-                         -DPKGDATADIR=\"$(pkgdatadir)\" \
-                         -DBINDIR=\"$(bindir)\"
-
-if INTERNAL_JIMTCL
-AM_CPPFLAGS += -I$(top_srcdir)/jimtcl \
-                          -I$(top_builddir)/jimtcl
-endif
index 247c61cc082f9a8c1689ccbed8821b3ff39bcd62..c6c31bef159275dc295e989aeaa8fbc90ef99ff6 100644 (file)
@@ -794,25 +794,6 @@ AS_IF([test "x$gcc_warnings" = "xyes"], [
 
 AC_CONFIG_FILES([
   Makefile
-  src/Makefile
-  src/helper/Makefile
-  src/jtag/Makefile
-  src/jtag/drivers/Makefile
-  src/jtag/drivers/usb_blaster/Makefile
-  src/jtag/hla/Makefile
-  src/jtag/aice/Makefile
-  src/transport/Makefile
-  src/target/openrisc/Makefile
-  src/xsvf/Makefile
-  src/svf/Makefile
-  src/target/Makefile
-  src/rtos/Makefile
-  src/server/Makefile
-  src/flash/Makefile
-  src/flash/nor/Makefile
-  src/flash/nand/Makefile
-  src/pld/Makefile
-  doc/Makefile
 ])
 AC_OUTPUT
 
index 24f68a1e7dd5fff154abe37cedfe56284496fcab..67592038d50e3339ab74dfa0e1e6187a21af837c 100644 (file)
@@ -1,12 +1,11 @@
-info_TEXINFOS = openocd.texi
-openocd_TEXINFOS = fdl.texi
-man_MANS = openocd.1
-EXTRA_DIST = openocd.1 \
-       manual
+info_TEXINFOS += %D%/openocd.texi
+%C%_openocd_TEXINFOS = %D%/fdl.texi
 
-MAINTAINERCLEANFILES = \
-       $(srcdir)/Makefile.in \
-       $(srcdir)/mdate-sh \
-       $(srcdir)/stamp-vti \
-       $(srcdir)/version.texi \
-       $(srcdir)/texinfo.tex
+dist_man_MANS += %D%/openocd.1
+
+EXTRA_DIST += %D%/manual
+
+MAINTAINERCLEANFILES += \
+       %D%/mdate-sh \
+       %D%/stamp-vti \
+       %D%/version.texi
index 699917c35a7d55d11c30f5512b2e41f527c83037..07981aa67ec2faea9f58fdd19d3081d9396b14c1 100644 (file)
@@ -1,61 +1,41 @@
-include $(top_srcdir)/common.mk
+noinst_LTLIBRARIES += %D%/libopenocd.la
+bin_PROGRAMS += %D%/openocd
 
-SUBDIRS = \
-       jtag \
-       helper \
-       target \
-       transport \
-       flash \
-       svf \
-       xsvf \
-       pld \
-       server \
-       rtos
+%C%_openocd_SOURCES = \
+       %D%/main.c
 
-noinst_LTLIBRARIES = libopenocd.la
-bin_PROGRAMS = openocd
+%C%_libopenocd_la_SOURCES = \
+       %D%/hello.c %D%/hello.h \
+       %D%/openocd.c %D%/openocd.h
 
-MAINFILE = main.c
+%C%_openocd_LDADD = %D%/libopenocd.la
 
-openocd_SOURCES = $(MAINFILE)
-openocd_LDADD = libopenocd.la
+%C%_openocd_LDADD += $(MINGWLDADD)
 
 if INTERNAL_JIMTCL
-openocd_LDADD += $(top_builddir)/jimtcl/libjim.a
+%C%_openocd_LDADD += $(top_builddir)/jimtcl/libjim.a
 else
-openocd_LDADD += -ljim
+%C%_openocd_LDADD += -ljim
 endif
 
-if ULINK
-openocd_LDADD += -lm
-endif
-
-libopenocd_la_SOURCES = \
-       hello.c \
-       openocd.c
-
-noinst_HEADERS = \
-       hello.h \
-       openocd.h
-
-libopenocd_la_CPPFLAGS =
+%C%_libopenocd_la_CPPFLAGS =
 
 # banner output includes RELSTR appended to $VERSION from the configure script
 # guess-rev.sh returns either a repository version ID or "-snapshot"
 if RELEASE
-libopenocd_la_CPPFLAGS += -DRELSTR=\"\"
-libopenocd_la_CPPFLAGS += -DGITVERSION=\"\"
+%C%_libopenocd_la_CPPFLAGS += -DRELSTR=\"\"
+%C%_libopenocd_la_CPPFLAGS += -DGITVERSION=\"\"
 else
-libopenocd_la_CPPFLAGS += -DRELSTR=\"`$(top_srcdir)/guess-rev.sh $(top_srcdir)`\"
-libopenocd_la_CPPFLAGS += -DGITVERSION=\"`cd $(top_srcdir) && git describe`\"
-libopenocd_la_CPPFLAGS += -DPKGBLDDATE=\"`date +%F-%R`\"
+%C%_libopenocd_la_CPPFLAGS += -DRELSTR=\"`$(top_srcdir)/guess-rev.sh $(top_srcdir)`\"
+%C%_libopenocd_la_CPPFLAGS += -DGITVERSION=\"`cd $(top_srcdir) && git describe`\"
+%C%_libopenocd_la_CPPFLAGS += -DPKGBLDDATE=\"`date +%F-%R`\"
 endif
 
 # add default CPPFLAGS
-libopenocd_la_CPPFLAGS += $(AM_CPPFLAGS) $(CPPFLAGS)
+%C%_libopenocd_la_CPPFLAGS += $(AM_CPPFLAGS) $(CPPFLAGS)
 
 # the library search path.
-libopenocd_la_LDFLAGS = $(all_libraries)
+%C%_libopenocd_la_LDFLAGS = $(all_libraries)
 
 if IS_MINGW
 MINGWLDADD = -lws2_32
@@ -63,59 +43,43 @@ else
 MINGWLDADD =
 endif
 
-libopenocd_la_LIBADD = \
-       $(top_builddir)/src/xsvf/libxsvf.la \
-       $(top_builddir)/src/svf/libsvf.la \
-       $(top_builddir)/src/pld/libpld.la \
-       $(top_builddir)/src/jtag/libjtag.la \
-       $(top_builddir)/src/transport/libtransport.la \
-       $(top_builddir)/src/flash/libflash.la \
-       $(top_builddir)/src/target/libtarget.la \
-       $(top_builddir)/src/server/libserver.la \
-       $(top_builddir)/src/rtos/librtos.la \
-       $(top_builddir)/src/helper/libhelper.la \
-       $(LIBFTDI_LIBS) $(MINGWLDADD) \
-       $(HIDAPI_LIBS) $(LIBUSB0_LIBS) $(LIBUSB1_LIBS)
-
-if !INTERNAL_LIBJAYLINK
-libopenocd_la_LIBADD += $(LIBJAYLINK_LIBS)
-endif
-
-STARTUP_TCL_SRCS = \
-       $(srcdir)/helper/startup.tcl \
-       $(srcdir)/jtag/startup.tcl \
-       $(srcdir)/target/startup.tcl \
-       $(srcdir)/flash/startup.tcl \
-       $(srcdir)/server/startup.tcl
-
-EXTRA_DIST = $(STARTUP_TCL_SRCS)
+%C%_libopenocd_la_LIBADD = \
+       %D%/xsvf/libxsvf.la \
+       %D%/svf/libsvf.la \
+       %D%/pld/libpld.la \
+       %D%/jtag/libjtag.la \
+       %D%/transport/libtransport.la \
+       %D%/flash/libflash.la \
+       %D%/target/libtarget.la \
+       %D%/server/libserver.la \
+       %D%/rtos/librtos.la \
+       %D%/helper/libhelper.la
 
-BUILT_SOURCES = startup_tcl.inc
+BIN2C = $(srcdir)/%D%/helper/bin2char.sh
 
-startup.tcl: $(STARTUP_TCL_SRCS)
-       cat $^ > $@
+STARTUP_TCL_SRCS =
+EXTRA_DIST += $(STARTUP_TCL_SRCS)
 
-BIN2C = $(top_srcdir)/src/helper/bin2char.sh
+BUILT_SOURCES += %D%/startup_tcl.inc
 
 # Convert .tcl to c-array
-startup_tcl.inc: startup.tcl $(BIN2C)
-       $(BIN2C) < $< > $@ || { rm -f $@; false; }
+%D%/startup_tcl.inc: $(STARTUP_TCL_SRCS)
+       cat $^ | $(BIN2C) > $@ || { rm -f $@; false; }
 
 # add generated files to make clean list
-CLEANFILES = startup.tcl startup_tcl.inc
+CLEANFILES += %D%/startup_tcl.inc
 
 # we do not want generated file in the dist
-dist-hook:
-       rm -f $(distdir)/startup_tcl.inc
-
-MAINTAINERCLEANFILES = $(srcdir)/Makefile.in
-
-# The "quick" target builds executables & reinstalls the executables
-# Primary use: developer types to quicken the edit/compile/debug
-# cycle.  by not requiring a "full build and full install". Note the
-# assumption is: You are only rebuilding the EXE.... and everything
-# else is/was previously installed.
-#
-# use at your own risk
-quick: all install-binPROGRAMS
-
+#dist-hook:
+#      rm -f $(distdir)/%D%/startup_tcl.inc
+
+include %D%/helper/Makefile.am
+include %D%/jtag/Makefile.am
+include %D%/transport/Makefile.am
+include %D%/xsvf/Makefile.am
+include %D%/svf/Makefile.am
+include %D%/target/Makefile.am
+include %D%/rtos/Makefile.am
+include %D%/server/Makefile.am
+include %D%/flash/Makefile.am
+include %D%/pld/Makefile.am
index ece4018370b088352cf69ebd50e7e63b91b50c10..a1b46f853bbdc5733756c7f050a8bf53d08f723f 100644 (file)
@@ -1,23 +1,13 @@
-include $(top_srcdir)/common.mk
+noinst_LTLIBRARIES += %D%/libflash.la
+%C%_libflash_la_SOURCES = \
+       %D%/common.c %D%/common.h \
+       %D%/mflash.c %D%/mflash.h
 
-SUBDIRS = \
-       nor \
-       nand
+%C%_libflash_la_LIBADD = \
+       %D%/nor/libocdflashnor.la \
+       %D%/nand/libocdflashnand.la
 
-METASOURCES = AUTO
-noinst_LTLIBRARIES = libflash.la
-libflash_la_SOURCES = \
-       common.c \
-       mflash.c
+STARTUP_TCL_SRCS += %D%/startup.tcl
 
-libflash_la_LIBADD = \
-       $(top_builddir)/src/flash/nor/libocdflashnor.la \
-       $(top_builddir)/src/flash/nand/libocdflashnand.la
-
-noinst_HEADERS = \
-       common.h \
-       mflash.h
-
-EXTRA_DIST = startup.tcl
-
-MAINTAINERCLEANFILES = $(srcdir)/Makefile.in
+include %D%/nor/Makefile.am
+include %D%/nand/Makefile.am
index 2ddd096ae98722fee9d846df3cc4b920558f9d9e..abe90f8bb830870be3ecd7fc39139d46ecd6913a 100644 (file)
@@ -1,46 +1,43 @@
-include $(top_srcdir)/common.mk
+noinst_LTLIBRARIES += %D%/libocdflashnand.la
 
-noinst_LTLIBRARIES = libocdflashnand.la
-
-libocdflashnand_la_SOURCES = \
-       ecc.c \
-       ecc_kw.c \
-       core.c \
-       fileio.c \
-       tcl.c \
-       arm_io.c \
+%C%_libocdflashnand_la_SOURCES = \
+       %D%/ecc.c \
+       %D%/ecc_kw.c \
+       %D%/core.c \
+       %D%/fileio.c \
+       %D%/tcl.c \
+       %D%/arm_io.c \
        $(NAND_DRIVERS) \
-       driver.c
+       %D%/driver.c \
+       $(NANDHEADERS)
 
 NAND_DRIVERS = \
-       nonce.c \
-       davinci.c \
-       lpc3180.c \
-       lpc32xx.c \
-       mxc.c \
-       mx3.c \
-       orion.c \
-       s3c24xx.c \
-       s3c2410.c \
-       s3c2412.c \
-       s3c2440.c \
-       s3c2443.c \
-       s3c6400.c \
-       at91sam9.c \
-       nuc910.c
-
-noinst_HEADERS = \
-       arm_io.h \
-       core.h \
-       driver.h \
-       fileio.h \
-       imp.h \
-       lpc3180.h \
-       lpc32xx.h \
-       mxc.h \
-       mx3.h \
-       s3c24xx.h \
-       s3c24xx_regs.h \
-       nuc910.h
+       %D%/nonce.c \
+       %D%/davinci.c \
+       %D%/lpc3180.c \
+       %D%/lpc32xx.c \
+       %D%/mxc.c \
+       %D%/mx3.c \
+       %D%/orion.c \
+       %D%/s3c24xx.c \
+       %D%/s3c2410.c \
+       %D%/s3c2412.c \
+       %D%/s3c2440.c \
+       %D%/s3c2443.c \
+       %D%/s3c6400.c \
+       %D%/at91sam9.c \
+       %D%/nuc910.c
 
-MAINTAINERCLEANFILES = $(srcdir)/Makefile.in
+NANDHEADERS = \
+       %D%/arm_io.h \
+       %D%/core.h \
+       %D%/driver.h \
+       %D%/fileio.h \
+       %D%/imp.h \
+       %D%/lpc3180.h \
+       %D%/lpc32xx.h \
+       %D%/mxc.h \
+       %D%/mx3.h \
+       %D%/s3c24xx.h \
+       %D%/s3c24xx_regs.h \
+       %D%/nuc910.h
index c167e8fdfca02a10f0015257b7cb3f49b8be7855..727e4f2fa7c6aee882e5cd70b71634435fd7983e 100644 (file)
@@ -1,69 +1,66 @@
-include $(top_srcdir)/common.mk
-
-noinst_LTLIBRARIES = libocdflashnor.la
-libocdflashnor_la_SOURCES = \
-       core.c \
-       tcl.c \
+noinst_LTLIBRARIES += %D%/libocdflashnor.la
+%C%_libocdflashnor_la_SOURCES = \
+       %D%/core.c \
+       %D%/tcl.c \
        $(NOR_DRIVERS) \
-       drivers.c
+       %D%/drivers.c \
+       $(NORHEADERS)
 
 NOR_DRIVERS = \
-       aduc702x.c \
-       aducm360.c \
-       ambiqmicro.c \
-       at91sam4.c \
-       at91sam4l.c \
-       at91samd.c \
-       at91sam3.c \
-       at91sam7.c \
-       atsamv.c \
-       avrf.c \
-       cfi.c \
-       dsp5680xx_flash.c \
-       efm32.c \
-       em357.c \
-       faux.c \
-       fm3.c \
-       fm4.c \
-       jtagspi.c \
-       kinetis.c \
-       kinetis_ke.c \
-       lpc2000.c \
-       lpc288x.c \
-       lpc2900.c \
-       lpcspifi.c \
-       mdr.c \
-       mrvlqspi.c \
-       niietcm4.c \
-       non_cfi.c \
-       nrf51.c \
-       numicro.c \
-       ocl.c \
-       pic32mx.c \
-       psoc4.c \
-       sim3x.c \
-       spi.c \
-       stmsmi.c \
-       stellaris.c \
-       stm32f1x.c \
-       stm32f2x.c \
-       stm32lx.c \
-       stm32l4x.c \
-       str7x.c \
-       str9x.c \
-       str9xpec.c \
-       tms470.c \
-       virtual.c \
-       xmc1xxx.c \
-       xmc4xxx.c
-
-noinst_HEADERS = \
-       core.h \
-       cfi.h \
-       driver.h \
-       imp.h \
-       non_cfi.h \
-       ocl.h \
-       spi.h
+       %D%/aduc702x.c \
+       %D%/aducm360.c \
+       %D%/ambiqmicro.c \
+       %D%/at91sam4.c \
+       %D%/at91sam4l.c \
+       %D%/at91samd.c \
+       %D%/at91sam3.c \
+       %D%/at91sam7.c \
+       %D%/atsamv.c \
+       %D%/avrf.c \
+       %D%/cfi.c \
+       %D%/dsp5680xx_flash.c \
+       %D%/efm32.c \
+       %D%/em357.c \
+       %D%/faux.c \
+       %D%/fm3.c \
+       %D%/fm4.c \
+       %D%/jtagspi.c \
+       %D%/kinetis.c \
+       %D%/kinetis_ke.c \
+       %D%/lpc2000.c \
+       %D%/lpc288x.c \
+       %D%/lpc2900.c \
+       %D%/lpcspifi.c \
+       %D%/mdr.c \
+       %D%/mrvlqspi.c \
+       %D%/niietcm4.c \
+       %D%/non_cfi.c \
+       %D%/nrf51.c \
+       %D%/numicro.c \
+       %D%/ocl.c \
+       %D%/pic32mx.c \
+       %D%/psoc4.c \
+       %D%/sim3x.c \
+       %D%/spi.c \
+       %D%/stmsmi.c \
+       %D%/stellaris.c \
+       %D%/stm32f1x.c \
+       %D%/stm32f2x.c \
+       %D%/stm32lx.c \
+       %D%/stm32l4x.c \
+       %D%/str7x.c \
+       %D%/str9x.c \
+       %D%/str9xpec.c \
+       %D%/tms470.c \
+       %D%/virtual.c \
+       %D%/xmc1xxx.c \
+       %D%/xmc4xxx.c
 
-MAINTAINERCLEANFILES = $(srcdir)/Makefile.in
+NORHEADERS = \
+       %D%/core.h \
+       %D%/cfi.h \
+       %D%/driver.h \
+       %D%/imp.h \
+       %D%/non_cfi.h \
+       %D%/ocl.h \
+       %D%/spi.h
index 64caf98b7da817aed83f966bee441fd93cf04773..362389436c07cea1a17d5c69c034a3a591d23c93 100644 (file)
@@ -1,56 +1,49 @@
-include $(top_srcdir)/common.mk
-
-METASOURCES = AUTO
-noinst_LTLIBRARIES = libhelper.la
-
-CONFIGFILES = options.c time_support_common.c
-
-libhelper_la_CPPFLAGS = $(AM_CPPFLAGS) $(LIBUSB1_CFLAGS)
-
-libhelper_la_SOURCES = \
-       binarybuffer.c \
-       $(CONFIGFILES) \
-       configuration.c \
-       log.c \
-       command.c \
-       time_support.c \
-       replacements.c \
-       fileio.c \
-       util.c \
-       jep106.c \
-       jim-nvp.c
+noinst_LTLIBRARIES += %D%/libhelper.la
+
+%C%_libhelper_la_CPPFLAGS = $(AM_CPPFLAGS) $(LIBUSB1_CFLAGS)
+
+%C%_libhelper_la_SOURCES = \
+       %D%/binarybuffer.c \
+       %D%/options.c \
+       %D%/time_support_common.c \
+       %D%/configuration.c \
+       %D%/log.c \
+       %D%/command.c \
+       %D%/time_support.c \
+       %D%/replacements.c \
+       %D%/fileio.c \
+       %D%/util.c \
+       %D%/jep106.c \
+       %D%/jim-nvp.c \
+       %D%/binarybuffer.h \
+       %D%/configuration.h \
+       %D%/ioutil.h \
+       %D%/list.h \
+       %D%/util.h \
+       %D%/types.h \
+       %D%/log.h \
+       %D%/command.h \
+       %D%/time_support.h \
+       %D%/replacements.h \
+       %D%/fileio.h \
+       %D%/system.h \
+       %D%/jep106.h \
+       %D%/jep106.inc \
+       %D%/jim-nvp.h
 
 if IOUTIL
-libhelper_la_SOURCES += ioutil.c
+%C%_libhelper_la_SOURCES += %D%/ioutil.c
 else
-libhelper_la_SOURCES += ioutil_stubs.c
+%C%_libhelper_la_SOURCES += %D%/ioutil_stubs.c
 endif
 
-libhelper_la_CFLAGS =
+%C%_libhelper_la_CFLAGS =
 if IS_MINGW
 # FD_* macros are sloppy with their signs on MinGW32 platform
-libhelper_la_CFLAGS += -Wno-sign-compare
+%C%_libhelper_la_CFLAGS += -Wno-sign-compare
 endif
 
-noinst_HEADERS = \
-       binarybuffer.h \
-       configuration.h \
-       ioutil.h \
-       list.h \
-       util.h \
-       types.h \
-       log.h \
-       command.h \
-       time_support.h \
-       replacements.h \
-       fileio.h \
-       system.h \
-       bin2char.sh \
-       jep106.h \
-       jep106.inc \
-       update_jep106.pl \
-       jim-nvp.h
-
-EXTRA_DIST = startup.tcl
-
-MAINTAINERCLEANFILES = $(srcdir)/Makefile.in
+STARTUP_TCL_SRCS += %D%/startup.tcl
+EXTRA_DIST += \
+       %D%/bin2char.sh \
+       %D%/update_jep106.pl
index db3e6ff2a4b193369fd7201b63c5d34e632d58a0..50ee263d003e65eb16432ae76ffdfce7556cd3fc 100644 (file)
@@ -1,86 +1,72 @@
-include $(top_srcdir)/common.mk
+noinst_LTLIBRARIES += %D%/libjtag.la
 
-METASOURCES = AUTO
-noinst_LTLIBRARIES = libjtag.la
+JTAG_SRCS =
+%C%_libjtag_la_LIBADD =
 
-SUBDIRS =
-DRIVERFILES =
-libjtag_la_LIBADD =
-
-CLEANFILES =
-
-BUILT_SOURCES =
-
-BUILT_SOURCES += minidriver_imp.h
-CLEANFILES += minidriver_imp.h
+BUILT_SOURCES += %D%/minidriver_imp.h
+CLEANFILES += %D%/minidriver_imp.h
 
 if MINIDRIVER
 
 if ZY1000
-DRIVERFILES += zy1000/zy1000.c
-JTAG_MINIDRIVER_DIR = $(srcdir)/zy1000
+JTAG_SRCS += %D%/zy1000/zy1000.c
+JTAG_MINIDRIVER_DIR = %D%/zy1000
 endif
 if MINIDRIVER_DUMMY
-DRIVERFILES += minidummy/minidummy.c commands.c
-JTAG_MINIDRIVER_DIR = $(srcdir)/minidummy
+JTAG_SRCS += %D%/minidummy/minidummy.c %D%/commands.c
+JTAG_MINIDRIVER_DIR = %D%/minidummy
 endif
 
-MINIDRIVER_IMP_DIR = $(srcdir)/minidriver
+MINIDRIVER_IMP_DIR = %D%/minidriver
 
-jtag_minidriver.h: $(JTAG_MINIDRIVER_DIR)/jtag_minidriver.h
+%D%/jtag_minidriver.h: $(JTAG_MINIDRIVER_DIR)/jtag_minidriver.h
        cp $< $@
 
-BUILT_SOURCES += jtag_minidriver.h
+BUILT_SOURCES += %D%/jtag_minidriver.h
 
-CLEANFILES += jtag_minidriver.h
+CLEANFILES += %D%/jtag_minidriver.h
 
 else
 
-MINIDRIVER_IMP_DIR = $(srcdir)/drivers
-DRIVERFILES += commands.c
+MINIDRIVER_IMP_DIR = %D%/drivers
+JTAG_SRCS += %D%/commands.c
 
 if HLADAPTER
-SUBDIRS += hla
-libjtag_la_LIBADD += $(top_builddir)/src/jtag/hla/libocdhla.la
+include %D%/hla/Makefile.am
+%C%_libjtag_la_LIBADD += $(top_builddir)/%D%/hla/libocdhla.la
 endif
 
 if AICE
-SUBDIRS += aice
-libjtag_la_LIBADD += $(top_builddir)/src/jtag/aice/libocdaice.la
+include %D%/aice/Makefile.am
+%C%_libjtag_la_LIBADD += $(top_builddir)/%D%/aice/libocdaice.la
 endif
 
-SUBDIRS += drivers
-libjtag_la_LIBADD += $(top_builddir)/src/jtag/drivers/libocdjtagdrivers.la
-
+include %D%/drivers/Makefile.am
+%C%_libjtag_la_LIBADD += $(top_builddir)/%D%/drivers/libocdjtagdrivers.la
 
 endif
-
 # endif // MINIDRIVER
 
-minidriver_imp.h: $(MINIDRIVER_IMP_DIR)/minidriver_imp.h
+%D%/minidriver_imp.h: $(MINIDRIVER_IMP_DIR)/minidriver_imp.h
        cp $< $@
 
 
-libjtag_la_SOURCES = \
-       adapter.c \
-       core.c \
-       interface.c \
-       interfaces.c \
-       tcl.c \
-       $(DRIVERFILES)
-
-noinst_HEADERS = \
-       commands.h \
-       driver.h \
-       interface.h \
-       interfaces.h \
-       minidriver.h \
-       jtag.h \
-       minidriver/minidriver_imp.h \
-       minidummy/jtag_minidriver.h \
-       swd.h \
-       tcl.h
-
-EXTRA_DIST = startup.tcl
-
-MAINTAINERCLEANFILES = $(srcdir)/Makefile.in
+%C%_libjtag_la_SOURCES = \
+       %D%/adapter.c \
+       %D%/core.c \
+       %D%/interface.c \
+       %D%/interfaces.c \
+       %D%/tcl.c \
+       %D%/commands.h \
+       %D%/driver.h \
+       %D%/interface.h \
+       %D%/interfaces.h \
+       %D%/minidriver.h \
+       %D%/jtag.h \
+       %D%/minidriver/minidriver_imp.h \
+       %D%/minidummy/jtag_minidriver.h \
+       %D%/swd.h \
+       %D%/tcl.h \
+       $(JTAG_SRCS)
+
+STARTUP_TCL_SRCS += %D%/startup.tcl
index 7b9469d86f33761ebd6d034df3f8ebf3bf475e0d..97e38258a1705e7317849831be4db2aca1e81d94 100644 (file)
@@ -1,27 +1,14 @@
-include $(top_srcdir)/common.mk
-
-AM_CPPFLAGS += -I$(top_srcdir)/src/jtag/drivers $(LIBUSB1_CFLAGS) $(LIBUSB0_CFLAGS)
-
-noinst_LTLIBRARIES = libocdaice.la
-
-libocdaice_la_SOURCES = \
-       $(AICEFILES)
-
-AICEFILES =
-
-if AICE
-AICEFILES += aice_transport.c
-AICEFILES += aice_interface.c
-AICEFILES += aice_port.c
-AICEFILES += aice_usb.c
-AICEFILES += aice_pipe.c
-endif
-
-noinst_HEADERS = \
-       aice_transport.h \
-       aice_interface.h \
-       aice_port.h \
-       aice_usb.h \
-       aice_pipe.h
-
-MAINTAINERCLEANFILES = $(srcdir)/Makefile.in
+noinst_LTLIBRARIES += %D%/libocdaice.la
+
+%C%_libocdaice_la_CPPFLAGS = -I$(top_srcdir)/src/jtag/drivers $(AM_CPPFLAGS) $(LIBUSB1_CFLAGS) $(LIBUSB0_CFLAGS)
+%C%_libocdaice_la_SOURCES = \
+       %D%/aice_transport.c \
+       %D%/aice_interface.c \
+       %D%/aice_port.c \
+       %D%/aice_usb.c \
+       %D%/aice_pipe.c \
+       %D%/aice_transport.h \
+       %D%/aice_interface.h \
+       %D%/aice_port.h \
+       %D%/aice_usb.h \
+       %D%/aice_pipe.h
index a50c92746c0513065ee0e8d08156adfa3f3c2f4c..c26d99784e2e0033c79cd0af1a4f41cb244cf12c 100644 (file)
-include $(top_srcdir)/common.mk
+noinst_LTLIBRARIES += %D%/libocdjtagdrivers.la
+%C%_libocdjtagdrivers_la_LIBADD =
 
-noinst_LTLIBRARIES = libocdjtagdrivers.la
-libocdjtagdrivers_la_LIBADD =
+%C%_libocdjtagdrivers_la_SOURCES = \
+       $(DRIVERFILES) \
+       $(DRIVERHEADERS)
 
-libocdjtagdrivers_la_SOURCES = \
-       $(DRIVERFILES)
+%C%_libocdjtagdrivers_la_CPPFLAGS = $(AM_CPPFLAGS)
 
-libocdjtagdrivers_la_CPPFLAGS = $(AM_CPPFLAGS) $(LIBUSB1_CFLAGS) \
-       $(LIBUSB0_CFLAGS) $(HIDAPI_CFLAGS) $(LIBFTDI_CFLAGS)
+ULINK_FIRMWARE = %D%/OpenULINK
 
-ULINK_FIRMWARE = $(srcdir)/OpenULINK
-
-EXTRA_DIST = $(ULINK_FIRMWARE) \
-       usb_blaster/README.CheapClone \
-       Makefile.rlink \
-       rlink_call.m4 \
-       rlink_init.m4
+EXTRA_DIST += $(ULINK_FIRMWARE) \
+       %D%/usb_blaster/README.CheapClone \
+       %D%/Makefile.rlink \
+       %D%/rlink_call.m4 \
+       %D%/rlink_init.m4
 
 DRIVERFILES =
-SUBDIRS=
 
-if JLINK
-if INTERNAL_LIBJAYLINK
-SUBDIRS += libjaylink
+# Standard Driver: common files
+DRIVERFILES += %D%/driver.c
 
-libjaylink_internal_la_SOURCES = jlink.c
-libjaylink_internal_la_LIBADD = libjaylink/libjaylink/libjaylink.la
-libjaylink_internal_la_CPPFLAGS = -I$(builddir)/libjaylink/libjaylink \
-       -I$(srcdir)/libjaylink $(AM_CPPFLAGS)
+if USE_LIBUSB1
+DRIVERFILES += %D%/libusb1_common.c
+%C%_libocdjtagdrivers_la_CPPFLAGS += $(LIBUSB1_CFLAGS)
+%C%_libocdjtagdrivers_la_LIBADD += $(LIBUSB1_LIBS)
+endif
 
-noinst_LTLIBRARIES += libjaylink_internal.la
-libocdjtagdrivers_la_LIBADD += libjaylink_internal.la
-else
-DRIVERFILES += jlink.c
-libocdjtagdrivers_la_CPPFLAGS += $(LIBJAYLINK_CFLAGS)
+if USE_LIBUSB0
+DRIVERFILES += %D%/usb_common.c
+%C%_libocdjtagdrivers_la_CPPFLAGS += $(LIBUSB0_CFLAGS)
+%C%_libocdjtagdrivers_la_LIBADD += $(LIBUSB0_LIBS)
+if !USE_LIBUSB1
+DRIVERFILES += %D%/libusb0_common.c
 endif
 endif
 
-# Standard Driver: common files
-DRIVERFILES += driver.c
+if USE_LIBFTDI
+%C%_libocdjtagdrivers_la_CPPFLAGS += $(LIBFTDI_CFLAGS)
+%C%_libocdjtagdrivers_la_LIBADD += $(LIBFTDI_LIBS)
+endif
 
-if USE_LIBUSB1
-DRIVERFILES += libusb1_common.c
+if USE_HIDAPI
+%C%_libocdjtagdrivers_la_CPPFLAGS += $(HIDAPI_CFLAGS)
+%C%_libocdjtagdrivers_la_LIBADD += $(HIDAPI_LIBS)
 endif
 
-if USE_LIBUSB0
-DRIVERFILES += usb_common.c
-if !USE_LIBUSB1
-DRIVERFILES += libusb0_common.c
+if USE_LIBJAYLINK
+%C%_libocdjtagdrivers_la_CPPFLAGS += $(LIBJAYLINK_CFLAGS)
+%C%_libocdjtagdrivers_la_LIBADD += $(LIBJAYLINK_LIBS)
+endif
+
+if JLINK
+DRIVERFILES += %D%/jlink.c
+if INTERNAL_LIBJAYLINK
+SUBDIRS += %D%/libjaylink
+DIST_SUBDIRS += %D%/libjaylink
+
+%C%_libocdjtagdrivers_la_LIBADD += %D%/libjaylink/libjaylink/libjaylink.la
+%C%_libocdjtagdrivers_la_CPPFLAGS += -I$(builddir)/%D%/libjaylink/libjaylink -I$(srcdir)/%D%/libjaylink
 endif
 endif
 
 if BITBANG
-DRIVERFILES += bitbang.c
+DRIVERFILES += %D%/bitbang.c
 endif
 if PARPORT
-DRIVERFILES += parport.c
+DRIVERFILES += %D%/parport.c
 endif
 if DUMMY
-DRIVERFILES += dummy.c
+DRIVERFILES += %D%/dummy.c
 endif
 if FTDI
-DRIVERFILES += ftdi.c mpsse.c
+DRIVERFILES += %D%/ftdi.c %D%/mpsse.c
 endif
 if JTAG_VPI
-DRIVERFILES += jtag_vpi.c
+DRIVERFILES += %D%/jtag_vpi.c
 endif
 if USB_BLASTER_DRIVER
-SUBDIRS += usb_blaster
-libocdjtagdrivers_la_LIBADD += $(top_builddir)/src/jtag/drivers/usb_blaster/libocdusbblaster.la
+%C%_libocdjtagdrivers_la_LIBADD += %D%/usb_blaster/libocdusbblaster.la
 endif
 if AMTJTAGACCEL
-DRIVERFILES += amt_jtagaccel.c
+DRIVERFILES += %D%/amt_jtagaccel.c
 endif
 if EP93XX
-DRIVERFILES += ep93xx.c
+DRIVERFILES += %D%/ep93xx.c
 endif
 if AT91RM9200
-DRIVERFILES += at91rm9200.c
+DRIVERFILES += %D%/at91rm9200.c
 endif
 if GW16012
-DRIVERFILES += gw16012.c
+DRIVERFILES += %D%/gw16012.c
 endif
 if BITQ
-DRIVERFILES += bitq.c
+DRIVERFILES += %D%/bitq.c
 endif
 if PRESTO
-DRIVERFILES += presto.c
+DRIVERFILES += %D%/presto.c
 endif
 if USBPROG
-DRIVERFILES += usbprog.c
+DRIVERFILES += %D%/usbprog.c
 endif
 if RLINK
-DRIVERFILES += rlink.c rlink_speed_table.c
+DRIVERFILES += %D%/rlink.c %D%/rlink_speed_table.c
 endif
 if ULINK
-DRIVERFILES += ulink.c
+DRIVERFILES += %D%/ulink.c
 ulinkdir = $(pkgdatadir)/OpenULINK
 dist_ulink_DATA = $(ULINK_FIRMWARE)/ulink_firmware.hex
+%C%_libocdjtagdrivers_la_LIBADD += -lm
 endif
 if VSLLINK
-DRIVERFILES += versaloon/usbtoxxx/usbtogpio.c
-DRIVERFILES += versaloon/usbtoxxx/usbtojtagraw.c
-DRIVERFILES += versaloon/usbtoxxx/usbtoswd.c
-DRIVERFILES += versaloon/usbtoxxx/usbtopwr.c
-DRIVERFILES += versaloon/usbtoxxx/usbtoxxx.c
-DRIVERFILES += versaloon/versaloon.c
-DRIVERFILES += vsllink.c
+DRIVERFILES += %D%/versaloon/usbtoxxx/usbtogpio.c
+DRIVERFILES += %D%/versaloon/usbtoxxx/usbtojtagraw.c
+DRIVERFILES += %D%/versaloon/usbtoxxx/usbtoswd.c
+DRIVERFILES += %D%/versaloon/usbtoxxx/usbtopwr.c
+DRIVERFILES += %D%/versaloon/usbtoxxx/usbtoxxx.c
+DRIVERFILES += %D%/versaloon/versaloon.c
+DRIVERFILES += %D%/vsllink.c
 endif
 if ARMJTAGEW
-DRIVERFILES += arm-jtag-ew.c
+DRIVERFILES += %D%/arm-jtag-ew.c
 endif
 if BUSPIRATE
-DRIVERFILES += buspirate.c
+DRIVERFILES += %D%/buspirate.c
 endif
 if REMOTE_BITBANG
-DRIVERFILES += remote_bitbang.c
+DRIVERFILES += %D%/remote_bitbang.c
 endif
 if HLADAPTER
-DRIVERFILES += stlink_usb.c
-DRIVERFILES += ti_icdi_usb.c
+DRIVERFILES += %D%/stlink_usb.c
+DRIVERFILES += %D%/ti_icdi_usb.c
 endif
 if OSBDM
-DRIVERFILES += osbdm.c
+DRIVERFILES += %D%/osbdm.c
 endif
 if OPENDOUS
-DRIVERFILES += opendous.c
+DRIVERFILES += %D%/opendous.c
 endif
 if SYSFSGPIO
-DRIVERFILES += sysfsgpio.c
+DRIVERFILES += %D%/sysfsgpio.c
 endif
 if BCM2835GPIO
-DRIVERFILES += bcm2835gpio.c
+DRIVERFILES += %D%/bcm2835gpio.c
 endif
 
 if OPENJTAG
-DRIVERFILES += openjtag.c
+DRIVERFILES += %D%/openjtag.c
 endif
 
 if CMSIS_DAP
-DRIVERFILES += cmsis_dap_usb.c
-endif
-
-noinst_HEADERS = \
-       bitbang.h \
-       bitq.h \
-       libusb0_common.h \
-       libusb1_common.h \
-       libusb_common.h \
-       minidriver_imp.h \
-       mpsse.h \
-       rlink.h \
-       rlink_dtc_cmd.h \
-       rlink_ep1_cmd.h \
-       rlink_st7.h \
-       usb_common.h \
-       versaloon/usbtoxxx/usbtoxxx.h \
-       versaloon/usbtoxxx/usbtoxxx_internal.h \
-       versaloon/versaloon.h \
-       versaloon/versaloon_include.h \
-       versaloon/versaloon_internal.h
-
-DIST_SUBDIRS = usb_blaster
-
-if JLINK
-if INTERNAL_LIBJAYLINK
-DIST_SUBDIRS += libjaylink
-endif
-endif
-
-MAINTAINERCLEANFILES = $(srcdir)/Makefile.in
+DRIVERFILES += %D%/cmsis_dap_usb.c
+endif
+
+DRIVERHEADERS = \
+       %D%/bitbang.h \
+       %D%/bitq.h \
+       %D%/libusb0_common.h \
+       %D%/libusb1_common.h \
+       %D%/libusb_common.h \
+       %D%/minidriver_imp.h \
+       %D%/mpsse.h \
+       %D%/rlink.h \
+       %D%/rlink_dtc_cmd.h \
+       %D%/rlink_ep1_cmd.h \
+       %D%/rlink_st7.h \
+       %D%/usb_common.h \
+       %D%/versaloon/usbtoxxx/usbtoxxx.h \
+       %D%/versaloon/usbtoxxx/usbtoxxx_internal.h \
+       %D%/versaloon/versaloon.h \
+       %D%/versaloon/versaloon_include.h \
+       %D%/versaloon/versaloon_internal.h
+
+include %D%/usb_blaster/Makefile.am
index 563af10edeaec6aa010152ed0bbf5546830b3877..599a0a9b09841f0b298515f12ba347ccdec1071e 100644 (file)
@@ -19,9 +19,9 @@
 #define OPENOCD_JTAG_DRIVERS_LIBUSB_COMMON_H
 
 #ifdef HAVE_LIBUSB1
-#include <libusb1_common.h>
+#include "libusb1_common.h"
 #else
-#include <libusb0_common.h>
+#include "libusb0_common.h"
 #endif
 
 #endif /* OPENOCD_JTAG_DRIVERS_LIBUSB_COMMON_H */
index 0d4ef61b2429f37f710766dcdeb7fd91bb5db042..a6694c543779c046525ae8caf4f1e67b1bcbfa92 100644 (file)
@@ -1,20 +1,13 @@
-include $(top_srcdir)/common.mk
+noinst_LTLIBRARIES += %D%/libocdusbblaster.la
+%C%_libocdusbblaster_la_SOURCES = $(USB_BLASTER_SRC)
+%C%_libocdusbblaster_la_CPPFLAGS = -I$(top_srcdir)/src/jtag/drivers $(AM_CPPFLAGS) $(LIBUSB1_CFLAGS) $(LIBFTDI_CFLAGS)
 
-AM_CPPFLAGS += -I$(top_srcdir)/src/jtag/drivers $(LIBUSB1_CFLAGS) $(LIBFTDI_CFLAGS)
-
-noinst_LTLIBRARIES = libocdusbblaster.la
-libocdusbblaster_la_SOURCES = $(USB_BLASTER_SRC)
-
-USB_BLASTER_SRC = usb_blaster.c
+USB_BLASTER_SRC = %D%/usb_blaster.c %D%/ublast_access.h
 
 if USB_BLASTER
-USB_BLASTER_SRC += ublast_access_ftdi.c
+USB_BLASTER_SRC += %D%/ublast_access_ftdi.c
 endif
 
 if USB_BLASTER_2
-USB_BLASTER_SRC += ublast2_access_libusb.c
+USB_BLASTER_SRC += %D%/ublast2_access_libusb.c
 endif
-
-noinst_HEADERS = ublast_access.h
-
-MAINTAINERCLEANFILES = $(srcdir)/Makefile.in
index 4fbc70e9cc15e55333ec1daa3bedd3cb7f324e9f..6bb2960ebcb7f268d933d1cc1d2665b8b670973c 100644 (file)
@@ -1,23 +1,11 @@
-include $(top_srcdir)/common.mk
-
-noinst_LTLIBRARIES = libocdhla.la
-
-libocdhla_la_SOURCES = \
-       $(HLFILES)
-
-HLFILES =
-
-if HLADAPTER
-HLFILES += hla_transport.c
-HLFILES += hla_tcl.c
-HLFILES += hla_interface.c
-HLFILES += hla_layout.c
-endif
-
-noinst_HEADERS = \
-       hla_interface.h \
-       hla_layout.h \
-       hla_tcl.h \
-       hla_transport.h
-
-MAINTAINERCLEANFILES = $(srcdir)/Makefile.in
+noinst_LTLIBRARIES += %D%/libocdhla.la
+
+%C%_libocdhla_la_SOURCES = \
+       %D%/hla_transport.c \
+       %D%/hla_tcl.c \
+       %D%/hla_interface.c \
+       %D%/hla_layout.c \
+       %D%/hla_transport.h \
+       %D%/hla_interface.h \
+       %D%/hla_layout.h \
+       %D%/hla_tcl.h
index 93b79f4a16c8dbe5c64dbc0b88f3e9db952d2274..7f3a554231ab4e9e4d6104f8f229c67a3e4a8c2c 100644 (file)
@@ -1,8 +1,8 @@
-include $(top_srcdir)/common.mk
-
-METASOURCES = AUTO
-noinst_LTLIBRARIES = libpld.la
-noinst_HEADERS = pld.h xilinx_bit.h virtex2.h
-libpld_la_SOURCES = pld.c xilinx_bit.c virtex2.c
-
-MAINTAINERCLEANFILES = $(srcdir)/Makefile.in
+noinst_LTLIBRARIES += %D%/libpld.la
+%C%_libpld_la_SOURCES = \
+       %D%/pld.c \
+       %D%/xilinx_bit.c \
+       %D%/virtex2.c \
+       %D%/pld.h \
+       %D%/xilinx_bit.h \
+       %D%/virtex2.h
index a9122b262c5ae9daabb956ac87deb9726f6f5401..aca266e18de246159f133b13743f6704435180b6 100644 (file)
@@ -1,32 +1,32 @@
-# ***************************************************************************
-# *   Copyright (C) 2011 by Broadcom Corporation                            *
-# *   Evan Hunter - ehunter@broadcom.com                                    *
-# *                                                                         *
-# *   This program is free software; you can redistribute it and/or modify  *
-# *   it under the terms of the GNU General Public License as published by  *
-# *   the Free Software Foundation; either version 2 of the License, or     *
-# *   (at your option) any later version.                                   *
-# *                                                                         *
-# *   This program is distributed in the hope that it will be useful,       *
-# *   but WITHOUT ANY WARRANTY; without even the implied warranty of        *
-# *   MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the         *
-# *   GNU General Public License for more details.                          *
-# *                                                                         *
-# *   You should have received a copy of the GNU General Public License     *
-# *   along with this program.  If not, see <http://www.gnu.org/licenses/>. *
-# ***************************************************************************
+noinst_LTLIBRARIES += %D%/librtos.la
+%C%_librtos_la_SOURCES = \
+       %D%/rtos.c \
+       %D%/rtos_standard_stackings.c \
+       %D%/rtos_ecos_stackings.c  \
+       %D%/rtos_chibios_stackings.c \
+       %D%/rtos_embkernel_stackings.c \
+       %D%/rtos_mqx_stackings.c \
+       %D%/rtos_ucos_iii_stackings.c \
+       %D%/FreeRTOS.c \
+       %D%/ThreadX.c \
+       %D%/eCos.c \
+       %D%/linux.c \
+       %D%/ChibiOS.c \
+       %D%/embKernel.c \
+       %D%/mqx.c \
+       %D%/uCOS-III.c \
+       %D%/rtos.h \
+       %D%/rtos_standard_stackings.h \
+       %D%/rtos_ecos_stackings.h \
+       %D%/linux_header.h \
+       %D%/rtos_chibios_stackings.h \
+       %D%/rtos_embkernel_stackings.h \
+       %D%/rtos_mqx_stackings.h \
+       %D%/rtos_ucos_iii_stackings.h
 
-include $(top_srcdir)/common.mk
+%C%_librtos_la_CFLAGS =
 
-METASOURCES = AUTO
-noinst_LTLIBRARIES = librtos.la
-noinst_HEADERS = rtos.h rtos_standard_stackings.h rtos_ecos_stackings.h linux_header.h rtos_chibios_stackings.h rtos_embkernel_stackings.h rtos_mqx_stackings.h rtos_ucos_iii_stackings.h
-librtos_la_SOURCES = rtos.c rtos_standard_stackings.c rtos_ecos_stackings.c  rtos_chibios_stackings.c rtos_embkernel_stackings.c rtos_mqx_stackings.c rtos_ucos_iii_stackings.c FreeRTOS.c ThreadX.c eCos.c linux.c ChibiOS.c embKernel.c mqx.c uCOS-III.c
-
-librtos_la_CFLAGS =
 if IS_MINGW
 # FD_* macros are sloppy with their signs on MinGW32 platform
-librtos_la_CFLAGS += -Wno-sign-compare
+%C%_librtos_la_CFLAGS += -Wno-sign-compare
 endif
-
-MAINTAINERCLEANFILES = $(srcdir)/Makefile.in
index 04d3035f452870fda945cd3721f88a6a6bc5cd1f..5970d0314638c4ead77c3f0a842f1f302a1e7c08 100644 (file)
@@ -1,23 +1,19 @@
-include $(top_srcdir)/common.mk
+noinst_LTLIBRARIES += %D%/libserver.la
+%C%_libserver_la_SOURCES = \
+       %D%/server.c \
+       %D%/telnet_server.c \
+       %D%/gdb_server.c \
+       %D%/server.h \
+       %D%/telnet_server.h \
+       %D%/gdb_server.h \
+       %D%/server_stubs.c \
+       %D%/tcl_server.c \
+       %D%/tcl_server.h
 
-METASOURCES = AUTO
-noinst_LTLIBRARIES = libserver.la
-noinst_HEADERS = server.h telnet_server.h gdb_server.h
-libserver_la_SOURCES = server.c telnet_server.c gdb_server.c
-
-libserver_la_SOURCES += server_stubs.c
-
-libserver_la_CFLAGS =
+%C%_libserver_la_CFLAGS =
 if IS_MINGW
 # FD_* macros are sloppy with their signs on MinGW32 platform
-libserver_la_CFLAGS += -Wno-sign-compare
+%C%_libserver_la_CFLAGS += -Wno-sign-compare
 endif
 
-# tcl server addons
-noinst_HEADERS += tcl_server.h
-libserver_la_SOURCES += tcl_server.c
-
-EXTRA_DIST = \
-       startup.tcl
-
-MAINTAINERCLEANFILES = $(srcdir)/Makefile.in
+STARTUP_TCL_SRCS += %D%/startup.tcl
index 3a14d2087ad47525cb9c07529cfaac6f2c5bfc28..5603d53b54e64cfbc24d94181d7cbd5425b63e1c 100644 (file)
@@ -1,8 +1,2 @@
-include $(top_srcdir)/common.mk
-
-METASOURCES = AUTO
-noinst_LTLIBRARIES = libsvf.la
-noinst_HEADERS = svf.h
-libsvf_la_SOURCES = svf.c
-
-MAINTAINERCLEANFILES = $(srcdir)/Makefile.in
+noinst_LTLIBRARIES += %D%/libsvf.la
+%C%_libsvf_la_SOURCES = %D%/svf.c %D%/svf.h
index dc7973d5797e1a16f0985e89a7c0735747487630..eb0d62ed59dc323ca487d91834289378bd76823e 100644 (file)
@@ -1,18 +1,15 @@
-include $(top_srcdir)/common.mk
-
 if OOCD_TRACE
-OOCD_TRACE_FILES = oocd_trace.c
+OOCD_TRACE_FILES = %D%/oocd_trace.c
 else
 OOCD_TRACE_FILES =
 endif
 
-SUBDIRS = openrisc
-libtarget_la_LIBADD = $(top_builddir)/src/target/openrisc/libopenrisc.la
+%C%_libtarget_la_LIBADD = %D%/openrisc/libopenrisc.la
 
+STARTUP_TCL_SRCS += %D%/startup.tcl
 
-METASOURCES = AUTO
-noinst_LTLIBRARIES = libtarget.la
-libtarget_la_SOURCES = \
+noinst_LTLIBRARIES += %D%/libtarget.la
+%C%_libtarget_la_SOURCES = \
        $(TARGET_CORE_SRC) \
        $(ARM_DEBUG_SRC) \
        $(ARMV4_5_SRC) \
@@ -23,178 +20,175 @@ libtarget_la_SOURCES = \
        $(MIPS32_SRC) \
        $(NDS32_SRC) \
        $(INTEL_IA32_SRC) \
-       avrt.c \
-       dsp563xx.c \
-       dsp563xx_once.c \
-       dsp5680xx.c \
-       hla_target.c
+       %D%/avrt.c \
+       %D%/dsp563xx.c \
+       %D%/dsp563xx_once.c \
+       %D%/dsp5680xx.c \
+       %D%/hla_target.c
 
 TARGET_CORE_SRC = \
-       algorithm.c \
-       register.c \
-       image.c \
-       breakpoints.c \
-       target.c \
-       target_request.c \
-       testee.c \
-       smp.c
+       %D%/algorithm.c \
+       %D%/register.c \
+       %D%/image.c \
+       %D%/breakpoints.c \
+       %D%/target.c \
+       %D%/target_request.c \
+       %D%/testee.c \
+       %D%/smp.c
 
 ARMV4_5_SRC = \
-       armv4_5.c \
-       armv4_5_mmu.c \
-       armv4_5_cache.c \
+       %D%/armv4_5.c \
+       %D%/armv4_5_mmu.c \
+       %D%/armv4_5_cache.c \
        $(ARM7_9_SRC)
 
 ARM7_9_SRC = \
-       arm7_9_common.c \
-       arm7tdmi.c \
-       arm720t.c \
-       arm9tdmi.c \
-       arm920t.c \
-       arm966e.c \
-       arm946e.c \
-       arm926ejs.c \
-       feroceon.c
+       %D%/arm7_9_common.c \
+       %D%/arm7tdmi.c \
+       %D%/arm720t.c \
+       %D%/arm9tdmi.c \
+       %D%/arm920t.c \
+       %D%/arm966e.c \
+       %D%/arm946e.c \
+       %D%/arm926ejs.c \
+       %D%/feroceon.c
 
 ARM_MISC_SRC = \
-       fa526.c \
-       xscale.c
+       %D%/fa526.c \
+       %D%/xscale.c
 
 ARMV6_SRC = \
-       arm11.c \
-       arm11_dbgtap.c
+       %D%/arm11.c \
+       %D%/arm11_dbgtap.c
 
 ARMV7_SRC = \
-       armv7m.c \
-       armv7m_trace.c \
-       cortex_m.c \
-       armv7a.c \
-       cortex_a.c \
-       ls1_sap.c
+       %D%/armv7m.c \
+       %D%/armv7m_trace.c \
+       %D%/cortex_m.c \
+       %D%/armv7a.c \
+       %D%/cortex_a.c \
+       %D%/ls1_sap.c
 
 ARM_DEBUG_SRC = \
-       arm_dpm.c \
-       arm_jtag.c \
-       arm_disassembler.c \
-       arm_simulator.c \
-       arm_semihosting.c \
-       arm_adi_v5.c \
-       armv7a_cache.c \
-       armv7a_cache_l2x.c \
-       adi_v5_jtag.c \
-       adi_v5_swd.c \
-       embeddedice.c \
-       trace.c \
-       etb.c \
-       etm.c \
+       %D%/arm_dpm.c \
+       %D%/arm_jtag.c \
+       %D%/arm_disassembler.c \
+       %D%/arm_simulator.c \
+       %D%/arm_semihosting.c \
+       %D%/arm_adi_v5.c \
+       %D%/armv7a_cache.c \
+       %D%/armv7a_cache_l2x.c \
+       %D%/adi_v5_jtag.c \
+       %D%/adi_v5_swd.c \
+       %D%/embeddedice.c \
+       %D%/trace.c \
+       %D%/etb.c \
+       %D%/etm.c \
        $(OOCD_TRACE_FILES) \
-       etm_dummy.c
+       %D%/etm_dummy.c
 
 AVR32_SRC = \
-       avr32_ap7k.c \
-       avr32_jtag.c \
-       avr32_mem.c \
-       avr32_regs.c
+       %D%/avr32_ap7k.c \
+       %D%/avr32_jtag.c \
+       %D%/avr32_mem.c \
+       %D%/avr32_regs.c
 
 MIPS32_SRC = \
-       mips32.c \
-       mips_m4k.c \
-       mips32_pracc.c \
-       mips32_dmaacc.c \
-       mips_ejtag.c
+       %D%/mips32.c \
+       %D%/mips_m4k.c \
+       %D%/mips32_pracc.c \
+       %D%/mips32_dmaacc.c \
+       %D%/mips_ejtag.c
 
 NDS32_SRC = \
-       nds32.c \
-       nds32_reg.c \
-       nds32_cmd.c \
-       nds32_disassembler.c \
-       nds32_tlb.c \
-       nds32_v2.c \
-       nds32_v3_common.c \
-       nds32_v3.c \
-       nds32_v3m.c \
-       nds32_aice.c
+       %D%/nds32.c \
+       %D%/nds32_reg.c \
+       %D%/nds32_cmd.c \
+       %D%/nds32_disassembler.c \
+       %D%/nds32_tlb.c \
+       %D%/nds32_v2.c \
+       %D%/nds32_v3_common.c \
+       %D%/nds32_v3.c \
+       %D%/nds32_v3m.c \
+       %D%/nds32_aice.c
 
 INTEL_IA32_SRC = \
-       quark_x10xx.c \
-       quark_d20xx.c \
-       lakemont.c \
-       x86_32_common.c
-
-noinst_HEADERS = \
-       algorithm.h \
-       arm.h \
-       arm_dpm.h \
-       arm_jtag.h \
-       arm_adi_v5.h \
-       armv7a_cache.h \
-       armv7a_cache_l2x.h \
-       arm_disassembler.h \
-       arm_opcodes.h \
-       arm_simulator.h \
-       arm_semihosting.h \
-       arm7_9_common.h \
-       arm7tdmi.h \
-       arm720t.h \
-       arm9tdmi.h \
-       arm920t.h \
-       arm926ejs.h \
-       arm966e.h \
-       arm946e.h \
-       arm11.h \
-       arm11_dbgtap.h \
-       armv4_5.h \
-       armv4_5_mmu.h \
-       armv4_5_cache.h \
-       armv7a.h \
-       armv7m.h \
-       armv7m_trace.h \
-       avrt.h \
-       dsp563xx.h \
-       dsp563xx_once.h \
-       dsp5680xx.h \
-       breakpoints.h \
-       cortex_m.h \
-       cortex_a.h \
-       embeddedice.h \
-       etb.h \
-       etm.h \
-       etm_dummy.h \
-       image.h \
-       mips32.h \
-       mips_m4k.h \
-       mips_ejtag.h \
-       mips32_pracc.h \
-       mips32_dmaacc.h \
-       oocd_trace.h \
-       register.h \
-       target.h \
-       target_type.h \
-       trace.h \
-       target_request.h \
-       trace.h \
-       xscale.h \
-       smp.h \
-       avr32_ap7k.h \
-       avr32_jtag.h \
-       avr32_mem.h \
-       avr32_regs.h \
-       nds32.h \
-       nds32_cmd.h \
-       nds32_disassembler.h \
-       nds32_edm.h \
-       nds32_insn.h \
-       nds32_reg.h \
-       nds32_tlb.h \
-       nds32_v2.h \
-       nds32_v3_common.h \
-       nds32_v3.h \
-       nds32_v3m.h \
-       nds32_aice.h \
-       lakemont.h \
-       x86_32_common.h
-
-ocddatadir = $(pkglibdir)
-nobase_dist_ocddata_DATA =
-
-MAINTAINERCLEANFILES = $(srcdir)/Makefile.in
+       %D%/quark_x10xx.c \
+       %D%/quark_d20xx.c \
+       %D%/lakemont.c \
+       %D%/x86_32_common.c
+
+%C%_libtarget_la_SOURCES += \
+       %D%/algorithm.h \
+       %D%/arm.h \
+       %D%/arm_dpm.h \
+       %D%/arm_jtag.h \
+       %D%/arm_adi_v5.h \
+       %D%/armv7a_cache.h \
+       %D%/armv7a_cache_l2x.h \
+       %D%/arm_disassembler.h \
+       %D%/arm_opcodes.h \
+       %D%/arm_simulator.h \
+       %D%/arm_semihosting.h \
+       %D%/arm7_9_common.h \
+       %D%/arm7tdmi.h \
+       %D%/arm720t.h \
+       %D%/arm9tdmi.h \
+       %D%/arm920t.h \
+       %D%/arm926ejs.h \
+       %D%/arm966e.h \
+       %D%/arm946e.h \
+       %D%/arm11.h \
+       %D%/arm11_dbgtap.h \
+       %D%/armv4_5.h \
+       %D%/armv4_5_mmu.h \
+       %D%/armv4_5_cache.h \
+       %D%/armv7a.h \
+       %D%/armv7m.h \
+       %D%/armv7m_trace.h \
+       %D%/avrt.h \
+       %D%/dsp563xx.h \
+       %D%/dsp563xx_once.h \
+       %D%/dsp5680xx.h \
+       %D%/breakpoints.h \
+       %D%/cortex_m.h \
+       %D%/cortex_a.h \
+       %D%/embeddedice.h \
+       %D%/etb.h \
+       %D%/etm.h \
+       %D%/etm_dummy.h \
+       %D%/image.h \
+       %D%/mips32.h \
+       %D%/mips_m4k.h \
+       %D%/mips_ejtag.h \
+       %D%/mips32_pracc.h \
+       %D%/mips32_dmaacc.h \
+       %D%/oocd_trace.h \
+       %D%/register.h \
+       %D%/target.h \
+       %D%/target_type.h \
+       %D%/trace.h \
+       %D%/target_request.h \
+       %D%/trace.h \
+       %D%/xscale.h \
+       %D%/smp.h \
+       %D%/avr32_ap7k.h \
+       %D%/avr32_jtag.h \
+       %D%/avr32_mem.h \
+       %D%/avr32_regs.h \
+       %D%/nds32.h \
+       %D%/nds32_cmd.h \
+       %D%/nds32_disassembler.h \
+       %D%/nds32_edm.h \
+       %D%/nds32_insn.h \
+       %D%/nds32_reg.h \
+       %D%/nds32_tlb.h \
+       %D%/nds32_v2.h \
+       %D%/nds32_v3_common.h \
+       %D%/nds32_v3.h \
+       %D%/nds32_v3m.h \
+       %D%/nds32_aice.h \
+       %D%/lakemont.h \
+       %D%/x86_32_common.h
+
+include %D%/openrisc/Makefile.am
index 6e260abd870002c6267abd177f76eb00dcbc578a..842fc18afad7501f2b77a7087d983b36bb90aa77 100644 (file)
@@ -19,6 +19,8 @@
 #ifndef OPENOCD_TARGET_BREAKPOINTS_H
 #define OPENOCD_TARGET_BREAKPOINTS_H
 
+#include <stdint.h>
+
 struct target;
 
 enum breakpoint_type {
index b00a30d6f966dc2c4c6deef1410175937a6d5dfe..5a2549a51dde32ec9225ea9d3f610a6ed6eb37ed 100644 (file)
@@ -1,18 +1,12 @@
-include $(top_srcdir)/common.mk
-
-noinst_LTLIBRARIES = libopenrisc.la
-libopenrisc_la_SOURCES = $(OPENRISC_SRC)
-
-OPENRISC_SRC = \
-       or1k.c \
-       or1k_du_adv.c \
-       or1k_tap_mohor.c \
-       or1k_tap_vjtag.c \
-       or1k_tap_xilinx_bscan.c \
-       jsp_server.c
-
-noinst_HEADERS = \
-       or1k.h \
-       or1k_du.h \
-       or1k_tap.h \
-       jsp_server.h
+noinst_LTLIBRARIES += %D%/libopenrisc.la
+%C%_libopenrisc_la_SOURCES = \
+       %D%/or1k.c \
+       %D%/or1k_du_adv.c \
+       %D%/or1k_tap_mohor.c \
+       %D%/or1k_tap_vjtag.c \
+       %D%/or1k_tap_xilinx_bscan.c \
+       %D%/jsp_server.c \
+       %D%/or1k.h \
+       %D%/or1k_du.h \
+       %D%/or1k_tap.h \
+       %D%/jsp_server.h
index 7c6224a480b13685487eacf7b198a700dc07b1de..9076d9b68d577d4e68265a8e6304972348a11b5d 100644 (file)
@@ -1,11 +1,4 @@
-include $(top_srcdir)/common.mk
-
-#METASOURCES = AUTO
-noinst_LTLIBRARIES = libtransport.la
-libtransport_la_SOURCES = \
-       transport.c
-
-noinst_HEADERS = \
-       transport.h
-
-MAINTAINERCLEANFILES = $(srcdir)/Makefile.in
+noinst_LTLIBRARIES += %D%/libtransport.la
+%C%_libtransport_la_SOURCES = \
+       %D%/transport.c \
+       %D%/transport.h
index 1b9cfab00eaf63b885cd86209604c219913b828a..61e6fb9200ef14861e16485ae748f72f5e167bdf 100644 (file)
@@ -1,8 +1,2 @@
-include $(top_srcdir)/common.mk
-
-METASOURCES = AUTO
-noinst_LTLIBRARIES = libxsvf.la
-noinst_HEADERS = xsvf.h
-libxsvf_la_SOURCES = xsvf.c
-
-MAINTAINERCLEANFILES = $(srcdir)/Makefile.in
+noinst_LTLIBRARIES += %D%/libxsvf.la
+%C%_libxsvf_la_SOURCES = %D%/xsvf.c %D%/xsvf.h

Linking to existing account procedure

If you already have an account and want to add another login method you MUST first sign in with your existing account and then change URL to read https://review.openocd.org/login/?link to get to this page again but this time it'll work for linking. Thank you.

SSH host keys fingerprints

1024 SHA256:YKx8b7u5ZWdcbp7/4AeXNaqElP49m6QrwfXaqQGJAOk gerrit-code-review@openocd.zylin.com (DSA)
384 SHA256:jHIbSQa4REvwCFG4cq5LBlBLxmxSqelQPem/EXIrxjk gerrit-code-review@openocd.org (ECDSA)
521 SHA256:UAOPYkU9Fjtcao0Ul/Rrlnj/OsQvt+pgdYSZ4jOYdgs gerrit-code-review@openocd.org (ECDSA)
256 SHA256:A13M5QlnozFOvTllybRZH6vm7iSt0XLxbA48yfc2yfY gerrit-code-review@openocd.org (ECDSA)
256 SHA256:spYMBqEYoAOtK7yZBrcwE8ZpYt6b68Cfh9yEVetvbXg gerrit-code-review@openocd.org (ED25519)
+--[ED25519 256]--+
|=..              |
|+o..   .         |
|*.o   . .        |
|+B . . .         |
|Bo. = o S        |
|Oo.+ + =         |
|oB=.* = . o      |
| =+=.+   + E     |
|. .=o   . o      |
+----[SHA256]-----+
2048 SHA256:0Onrb7/PHjpo6iVZ7xQX2riKN83FJ3KGU0TvI0TaFG4 gerrit-code-review@openocd.zylin.com (RSA)