contrib: replace the BSD-3-Clause license tag
[openocd.git] / contrib / loaders / flash / cc26xx / Makefile
1 # SPDX-License-Identifier: BSD-3-Clause
2
3 # Copyright (C) 2018 Texas Instruments Incorporated - http://www.ti.com/
4
5 BIN2C = ../../../../src/helper/bin2char.sh
6
7 CROSS_COMPILE ?= arm-none-eabi-
8 GCC = $(CROSS_COMPILE)gcc
9 OBJCOPY = $(CROSS_COMPILE)objcopy
10
11 FLAGS = -mthumb -Os -ffunction-sections -fdata-sections -g -gdwarf-3
12 FLAGS += -gstrict-dwarf -Wall -fno-strict-aliasing --asm
13
14 CFLAGS = -c -I.
15
16 CC26X0_CFLAGS = -mcpu=cortex-m3 -DDEVICE_CC26X0
17
18 CC26X2_CFLAGS = -mcpu=cortex-m4 -DDEVICE_CC26X2
19
20 CC26X0_OBJS := \
21 cc26x0/flashloader.o \
22 cc26x0/main.o \
23 cc26x0/startup.o \
24 cc26x0/flash.o
25
26 CC26X2_OBJS := \
27 cc26x2/flashloader.o \
28 cc26x2/main.o \
29 cc26x2/startup.o \
30 cc26x2/flash.o
31
32 all: cc26x0_algo.inc cc26x2_algo.inc
33
34 cc26x0/%.o: %.c
35 @echo 'Building file: $<'
36 @echo 'Invoking: GNU Compiler'
37 $(GCC) $(FLAGS) $(CFLAGS) $(CC26X0_CFLAGS) -o"$@" "$(shell echo $<)"
38 @echo 'Finished building: $<'
39 @echo ' '
40
41 cc26x2/%.o: %.c
42 @echo 'Building file: $<'
43 @echo 'Invoking: GNU Compiler'
44 $(GCC) $(FLAGS) $(CFLAGS) $(CC26X2_CFLAGS) -o"$@" "$(shell echo $<)"
45 @echo 'Finished building: $<'
46 @echo ' '
47
48 cc26x0_algo.out: $(CC26X0_OBJS)
49 @echo 'Building target: $@'
50 @echo 'Invoking: GNU Linker'
51 $(GCC) $(FLAGS) -o$@ $(CC26X0_OBJS) -Wl,-T"cc26x0/cc26x0r2f.lds"
52 @echo 'Finished building target: $@'
53 @echo ' '
54
55 cc26x2_algo.out: $(CC26X2_OBJS)
56 @echo 'Building target: $@'
57 @echo 'Invoking: GNU Linker'
58 $(GCC) $(FLAGS) -o$@ $(CC26X2_OBJS) -Wl,-T"cc26x2/cc26x2r1f.lds"
59 @echo 'Finished building target: $@'
60 @echo ' '
61
62 %.bin: %.out
63 @echo 'Building target: $@'
64 @echo 'Invoking: GNU Objcopy Utility'
65 $(OBJCOPY) -Obinary $< $@
66 @echo 'Finished building target: $@'
67 @echo ' '
68
69 %.inc: %.bin
70 @echo 'Building target: $@'
71 @echo 'Invoking Bin2Char Script'
72 $(BIN2C) < $< > $@
73 rm $< $*.out
74 @echo 'Finished building target: $@'
75 @echo ' '
76
77 clean:
78 @echo 'Cleaning Targets and Build Artifacts'
79 rm -rf *.inc *.bin *.out *.map
80 rm -rf cc26x0/*.o cc26x0/*.d
81 rm -rf cc26x2/*.o cc26x2/*.d
82 @echo 'Finished clean'
83 @echo ' '
84
85 .PRECIOUS: %.bin
86
87 .PHONY: all clean

Linking to existing account procedure

If you already have an account and want to add another login method you MUST first sign in with your existing account and then change URL to read https://review.openocd.org/login/?link to get to this page again but this time it'll work for linking. Thank you.

SSH host keys fingerprints

1024 SHA256:YKx8b7u5ZWdcbp7/4AeXNaqElP49m6QrwfXaqQGJAOk gerrit-code-review@openocd.zylin.com (DSA)
384 SHA256:jHIbSQa4REvwCFG4cq5LBlBLxmxSqelQPem/EXIrxjk gerrit-code-review@openocd.org (ECDSA)
521 SHA256:UAOPYkU9Fjtcao0Ul/Rrlnj/OsQvt+pgdYSZ4jOYdgs gerrit-code-review@openocd.org (ECDSA)
256 SHA256:A13M5QlnozFOvTllybRZH6vm7iSt0XLxbA48yfc2yfY gerrit-code-review@openocd.org (ECDSA)
256 SHA256:spYMBqEYoAOtK7yZBrcwE8ZpYt6b68Cfh9yEVetvbXg gerrit-code-review@openocd.org (ED25519)
+--[ED25519 256]--+
|=..              |
|+o..   .         |
|*.o   . .        |
|+B . . .         |
|Bo. = o S        |
|Oo.+ + =         |
|oB=.* = . o      |
| =+=.+   + E     |
|. .=o   . o      |
+----[SHA256]-----+
2048 SHA256:0Onrb7/PHjpo6iVZ7xQX2riKN83FJ3KGU0TvI0TaFG4 gerrit-code-review@openocd.zylin.com (RSA)