e07614639b7e3c35c9f3d3c5b1000e3cc66c6bb2
[openocd.git] / src / jtag / jtag.h
1 /***************************************************************************
2 * Copyright (C) 2005 by Dominic Rath *
3 * Dominic.Rath@gmx.de *
4 * *
5 * Copyright (C) 2007,2008 Øyvind Harboe *
6 * oyvind.harboe@zylin.com *
7 * *
8 * This program is free software; you can redistribute it and/or modify *
9 * it under the terms of the GNU General Public License as published by *
10 * the Free Software Foundation; either version 2 of the License, or *
11 * (at your option) any later version. *
12 * *
13 * This program is distributed in the hope that it will be useful, *
14 * but WITHOUT ANY WARRANTY; without even the implied warranty of *
15 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the *
16 * GNU General Public License for more details. *
17 * *
18 * You should have received a copy of the GNU General Public License *
19 * along with this program; if not, write to the *
20 * Free Software Foundation, Inc., *
21 * 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA. *
22 ***************************************************************************/
23 #ifndef JTAG_H
24 #define JTAG_H
25
26 #include "types.h"
27 #include "binarybuffer.h"
28 #include "log.h"
29
30 #include "command.h"
31
32
33 #ifdef _DEBUG_JTAG_IO_
34 #define DEBUG_JTAG_IO(expr ...) LOG_DEBUG(expr)
35 #else
36 #define DEBUG_JTAG_IO(expr ...)
37 #endif
38
39 #ifndef DEBUG_JTAG_IOZ
40 #define DEBUG_JTAG_IOZ 64
41 #endif
42
43 /*-----<Macros>--------------------------------------------------*/
44
45 /** When given an array, compute its DIMension, i.e. number of elements in the array */
46 #define DIM(x) (sizeof(x)/sizeof((x)[0]))
47
48 /** Calculate the number of bytes required to hold @a n TAP scan bits */
49 #define TAP_SCAN_BYTES(n) CEIL(n, 8)
50
51 /*-----</Macros>-------------------------------------------------*/
52
53
54
55 /*
56 * Tap states from ARM7TDMI-S Technical reference manual.
57 * Also, validated against several other ARM core technical manuals.
58 *
59 * N.B. tap_get_tms_path() was changed to reflect this corrected
60 * numbering and ordering of the TAP states.
61 *
62 * DANGER!!!! some interfaces care about the actual numbers used
63 * as they are handed off directly to hardware implementations.
64 */
65
66 typedef enum tap_state
67 {
68 #if BUILD_ECOSBOARD
69 /* These are the old numbers. Leave as-is for now... */
70 TAP_RESET = 0, TAP_IDLE = 8,
71 TAP_DRSELECT = 1, TAP_DRCAPTURE = 2, TAP_DRSHIFT = 3, TAP_DREXIT1 = 4,
72 TAP_DRPAUSE = 5, TAP_DREXIT2 = 6, TAP_DRUPDATE = 7,
73 TAP_IRSELECT = 9, TAP_IRCAPTURE = 10, TAP_IRSHIFT = 11, TAP_IREXIT1 = 12,
74 TAP_IRPAUSE = 13, TAP_IREXIT2 = 14, TAP_IRUPDATE = 15,
75
76 TAP_NUM_STATES = 16, TAP_INVALID = -1,
77 #else
78 /* Proper ARM recommended numbers */
79 TAP_DREXIT2 = 0x0,
80 TAP_DREXIT1 = 0x1,
81 TAP_DRSHIFT = 0x2,
82 TAP_DRPAUSE = 0x3,
83 TAP_IRSELECT = 0x4,
84 TAP_DRUPDATE = 0x5,
85 TAP_DRCAPTURE = 0x6,
86 TAP_DRSELECT = 0x7,
87 TAP_IREXIT2 = 0x8,
88 TAP_IREXIT1 = 0x9,
89 TAP_IRSHIFT = 0xa,
90 TAP_IRPAUSE = 0xb,
91 TAP_IDLE = 0xc,
92 TAP_IRUPDATE = 0xd,
93 TAP_IRCAPTURE = 0xe,
94 TAP_RESET = 0x0f,
95
96 TAP_NUM_STATES = 0x10,
97
98 TAP_INVALID = -1,
99 #endif
100 } tap_state_t;
101
102 typedef struct tap_transition_s
103 {
104 tap_state_t high;
105 tap_state_t low;
106 } tap_transition_t;
107
108 //extern tap_transition_t tap_transitions[16]; /* describe the TAP state diagram */
109
110
111 /*-----<Cable Helper API>-------------------------------------------*/
112
113 /* The "Cable Helper API" is what the cable drivers can use to help implement
114 * their "Cable API". So a Cable Helper API is a set of helper functions used by
115 * cable drivers, and this is different from a Cable API. A "Cable API" is what
116 * higher level code used to talk to a cable.
117 */
118
119
120 /** implementation of wrapper function tap_set_state() */
121 void tap_set_state_impl(tap_state_t new_state);
122
123 /**
124 * Function tap_set_state
125 * sets the state of a "state follower" which tracks the state of the TAPs connected to the
126 * cable. The state follower is hopefully always in the same state as the actual
127 * TAPs in the jtag chain, and will be so if there are no bugs in the tracking logic within that
128 * cable driver. All the cable drivers call this function to indicate the state they think
129 * the TAPs attached to their cables are in. Because this function can also log transitions,
130 * it will be helpful to call this function with every transition that the TAPs being manipulated
131 * are expected to traverse, not just end points of a multi-step state path.
132 * @param new_state is the state we think the TAPs are currently in or are about to enter.
133 */
134 #if defined(_DEBUG_JTAG_IO_)
135 #define tap_set_state(new_state) \
136 do { \
137 LOG_DEBUG( "tap_set_state(%s)", tap_state_name(new_state) ); \
138 tap_set_state_impl(new_state); \
139 } while (0)
140 #else
141 static inline void tap_set_state(tap_state_t new_state)
142 {
143 tap_set_state_impl(new_state);
144 }
145
146 #endif
147
148 /**
149 * Function tap_get_state
150 * gets the state of the "state follower" which tracks the state of the TAPs connected to
151 * the cable.
152 * @see tap_set_state
153 * @return tap_state_t - The state the TAPs are in now.
154 */
155 tap_state_t tap_get_state(void);
156
157 /**
158 * Function tap_set_end_state
159 * sets the state of an "end state follower" which tracks the state that any cable driver
160 * thinks will be the end (resultant) state of the current TAP SIR or SDR operation. At completion
161 * of that TAP operation this value is copied into the state follower via tap_set_state().
162 * @param new_end_state is that state the TAPs should enter at completion of a pending TAP operation.
163 */
164 void tap_set_end_state(tap_state_t new_end_state);
165
166 /**
167 * Function tap_get_end_state
168 * @see tap_set_end_state
169 * @return tap_state_t - The state the TAPs should be in at completion of the current TAP operation.
170 */
171 tap_state_t tap_get_end_state(void);
172
173 /**
174 * Function tap_get_tms_path
175 * returns a 7 bit long "bit sequence" indicating what has to be done with TMS
176 * during a sequence of seven TAP clock cycles in order to get from
177 * state \a "from" to state \a "to".
178 * @param from is the starting state
179 * @param to is the resultant or final state
180 * @return int - a 7 bit sequence, with the first bit in the sequence at bit 0.
181 */
182 int tap_get_tms_path(tap_state_t from, tap_state_t to);
183
184
185 /**
186 * Function int tap_get_tms_path_len
187 * returns the total number of bits that represents a TMS path
188 * transition as given by the function tap_get_tms_path().
189 *
190 * For at least one interface (JLink) it's not OK to simply "pad" TMS sequences
191 * to fit a whole byte. (I suspect this is a general TAP problem within OOCD.)
192 * Padding TMS causes all manner of instability that's not easily
193 * discovered. Using this routine we can apply EXACTLY the state transitions
194 * required to make something work - no more - no less.
195 *
196 * @param from is the starting state
197 * @param to is the resultant or final state
198 * @return int - the total number of bits in a transition.
199 */
200 int tap_get_tms_path_len(tap_state_t from, tap_state_t to);
201
202
203 /**
204 * Function tap_move_ndx
205 * when given a stable state, returns an index from 0-5. The index corresponds to a
206 * sequence of stable states which are given in this order: <p>
207 * { TAP_RESET, TAP_IDLE, TAP_DRSHIFT, TAP_DRPAUSE, TAP_IRSHIFT, TAP_IRPAUSE }
208 * <p>
209 * This sequence corresponds to look up tables which are used in some of the
210 * cable drivers.
211 * @param astate is the stable state to find in the sequence. If a non stable
212 * state is passed, this may cause the program to output an error message
213 * and terminate.
214 * @return int - the array (or sequence) index as described above
215 */
216 int tap_move_ndx(tap_state_t astate);
217
218 /**
219 * Function tap_is_state_stable
220 * returns true if the \a astate is stable.
221 */
222 bool tap_is_state_stable(tap_state_t astate);
223
224 /**
225 * Function tap_state_transition
226 * takes a current TAP state and returns the next state according to the tms value.
227 * @param current_state is the state of a TAP currently.
228 * @param tms is either zero or non-zero, just like a real TMS line in a jtag interface.
229 * @return tap_state_t - the next state a TAP would enter.
230 */
231 tap_state_t tap_state_transition(tap_state_t current_state, bool tms);
232
233 /**
234 * Function tap_state_name
235 * Returns a string suitable for display representing the JTAG tap_state
236 */
237 const char* tap_state_name(tap_state_t state);
238
239 #ifdef _DEBUG_JTAG_IO_
240 /**
241 * @brief Prints verbose TAP state transitions for the given TMS/TDI buffers.
242 * @param tms_buf must points to a buffer containing the TMS bitstream.
243 * @param tdi_buf must points to a buffer containing the TDI bitstream.
244 * @param tap_len must specify the length of the TMS/TDI bitstreams.
245 * @param start_tap_state must specify the current TAP state.
246 * @returns the final TAP state; pass as @a start_tap_state in following call.
247 */
248 tap_state_t jtag_debug_state_machine(const void *tms_buf, const void *tdi_buf,
249 unsigned tap_len, tap_state_t start_tap_state);
250 #else
251 static inline tap_state_t jtag_debug_state_machine(const void *tms_buf,
252 const void *tdi_buf, unsigned tap_len, tap_state_t start_tap_state)
253 {
254 return start_tap_state;
255 }
256 #endif // _DEBUG_JTAG_IO_
257
258 /*-----</Cable Helper API>------------------------------------------*/
259
260
261 extern tap_state_t cmd_queue_end_state; /* finish DR scans in dr_end_state */
262 extern tap_state_t cmd_queue_cur_state; /* current TAP state */
263
264 typedef void* error_handler_t; /* Later on we can delete error_handler_t, but keep it for now to make patches more readable */
265
266 struct scan_field_s;
267 typedef int (*in_handler_t)(u8* in_value, void* priv, struct scan_field_s* field);
268
269 typedef struct scan_field_s
270 {
271 jtag_tap_t* tap; /* tap pointer this instruction refers to */
272 int num_bits; /* number of bits this field specifies (up to 32) */
273 u8* out_value; /* value to be scanned into the device */
274 u8* out_mask; /* only masked bits care */
275 u8* in_value; /* pointer to a 32-bit memory location to take data scanned out */
276 /* in_check_value/mask, in_handler_error_handler, in_handler_priv can be used by the in handler, otherwise they contain garbage */
277 u8* in_check_value; /* used to validate scan results */
278 u8* in_check_mask; /* check specified bits against check_value */
279 in_handler_t in_handler; /* process received buffer using this handler */
280 void* in_handler_priv; /* additional information for the in_handler */
281 } scan_field_t;
282
283 enum scan_type {
284 /* IN: from device to host, OUT: from host to device */
285 SCAN_IN = 1, SCAN_OUT = 2, SCAN_IO = 3
286 };
287
288 typedef struct scan_command_s
289 {
290 int ir_scan; /* instruction/not data scan */
291 int num_fields; /* number of fields in *fields array */
292 scan_field_t* fields; /* pointer to an array of data scan fields */
293 tap_state_t end_state; /* TAP state in which JTAG commands should finish */
294 } scan_command_t;
295
296 typedef struct statemove_command_s
297 {
298 tap_state_t end_state; /* TAP state in which JTAG commands should finish */
299 } statemove_command_t;
300
301 typedef struct pathmove_command_s
302 {
303 int num_states; /* number of states in *path */
304 tap_state_t* path; /* states that have to be passed */
305 } pathmove_command_t;
306
307 typedef struct runtest_command_s
308 {
309 int num_cycles; /* number of cycles that should be spent in Run-Test/Idle */
310 tap_state_t end_state; /* TAP state in which JTAG commands should finish */
311 } runtest_command_t;
312
313
314 typedef struct stableclocks_command_s
315 {
316 int num_cycles; /* number of clock cycles that should be sent */
317 } stableclocks_command_t;
318
319
320 typedef struct reset_command_s
321 {
322 int trst; /* trst/srst 0: deassert, 1: assert, -1: don't change */
323 int srst;
324 } reset_command_t;
325
326 typedef struct end_state_command_s
327 {
328 tap_state_t end_state; /* TAP state in which JTAG commands should finish */
329 } end_state_command_t;
330
331 typedef struct sleep_command_s
332 {
333 u32 us; /* number of microseconds to sleep */
334 } sleep_command_t;
335
336 typedef union jtag_command_container_u
337 {
338 scan_command_t* scan;
339 statemove_command_t* statemove;
340 pathmove_command_t* pathmove;
341 runtest_command_t* runtest;
342 stableclocks_command_t* stableclocks;
343 reset_command_t* reset;
344 end_state_command_t* end_state;
345 sleep_command_t* sleep;
346 } jtag_command_container_t;
347
348 enum jtag_command_type {
349 JTAG_SCAN = 1,
350 JTAG_STATEMOVE = 2,
351 JTAG_RUNTEST = 3,
352 JTAG_RESET = 4,
353 JTAG_END_STATE = 5,
354 JTAG_PATHMOVE = 6,
355 JTAG_SLEEP = 7,
356 JTAG_STABLECLOCKS = 8
357 };
358
359 typedef struct jtag_command_s
360 {
361 jtag_command_container_t cmd;
362 enum jtag_command_type type;
363 struct jtag_command_s* next;
364 } jtag_command_t;
365
366 extern jtag_command_t* jtag_command_queue;
367
368 /* forward declaration */
369 typedef struct jtag_tap_event_action_s jtag_tap_event_action_t;
370
371 /* this is really: typedef jtag_tap_t */
372 /* But - the typedef is done in "types.h" */
373 /* due to "forward decloration reasons" */
374 struct jtag_tap_s
375 {
376 const char* chip;
377 const char* tapname;
378 const char* dotted_name;
379 int abs_chain_position;
380 int enabled;
381 int ir_length; /* size of instruction register */
382 u32 ir_capture_value;
383 u8* expected; /* Capture-IR expected value */
384 u32 ir_capture_mask;
385 u8* expected_mask; /* Capture-IR expected mask */
386 u32 idcode; /* device identification code */
387 u32* expected_ids; /* Array of expected identification codes */
388 u8 expected_ids_cnt; /* Number of expected identification codes */
389 u8* cur_instr; /* current instruction */
390 int bypass; /* bypass register selected */
391
392 jtag_tap_event_action_t* event_action;
393
394 jtag_tap_t* next_tap;
395 };
396 extern jtag_tap_t* jtag_AllTaps(void);
397 extern jtag_tap_t* jtag_TapByPosition(int n);
398 extern jtag_tap_t* jtag_TapByPosition(int n);
399 extern jtag_tap_t* jtag_TapByString(const char* dotted_name);
400 extern jtag_tap_t* jtag_TapByJimObj(Jim_Interp* interp, Jim_Obj* obj);
401 extern jtag_tap_t* jtag_TapByAbsPosition(int abs_position);
402 extern int jtag_NumEnabledTaps(void);
403 extern int jtag_NumTotalTaps(void);
404
405 static __inline__ jtag_tap_t* jtag_NextEnabledTap(jtag_tap_t* p)
406 {
407 if (p == NULL)
408 {
409 /* start at the head of list */
410 p = jtag_AllTaps();
411 }
412 else
413 {
414 /* start *after* this one */
415 p = p->next_tap;
416 }
417 while (p)
418 {
419 if (p->enabled)
420 {
421 break;
422 }
423 else
424 {
425 p = p->next_tap;
426 }
427 }
428
429 return p;
430 }
431
432
433 enum reset_line_mode {
434 LINE_OPEN_DRAIN = 0x0,
435 LINE_PUSH_PULL = 0x1,
436 };
437
438 typedef struct jtag_interface_s
439 {
440 char* name;
441
442 /* queued command execution
443 */
444 int (*execute_queue)(void);
445
446 /* interface initalization
447 */
448 int (*speed)(int speed);
449 int (*register_commands)(struct command_context_s* cmd_ctx);
450 int (*init)(void);
451 int (*quit)(void);
452
453 /* returns JTAG maxium speed for KHz. 0=RTCK. The function returns
454 * a failure if it can't support the KHz/RTCK.
455 *
456 * WARNING!!!! if RTCK is *slow* then think carefully about
457 * whether you actually want to support this in the driver.
458 * Many target scripts are written to handle the absence of RTCK
459 * and use a fallback kHz TCK.
460 */
461 int (*khz)(int khz, int* jtag_speed);
462
463 /* returns the KHz for the provided JTAG speed. 0=RTCK. The function returns
464 * a failure if it can't support the KHz/RTCK. */
465 int (*speed_div)(int speed, int* khz);
466
467 /* Read and clear the power dropout flag. Note that a power dropout
468 * can be transitionary, easily much less than a ms.
469 *
470 * So to find out if the power is *currently* on, you must invoke
471 * this method twice. Once to clear the power dropout flag and a
472 * second time to read the current state.
473 *
474 * Currently the default implementation is never to detect power dropout.
475 */
476 int (*power_dropout)(int* power_dropout);
477
478 /* Read and clear the srst asserted detection flag.
479 *
480 * NB!!!! like power_dropout this does *not* read the current
481 * state. srst assertion is transitionary and *can* be much
482 * less than 1ms.
483 */
484 int (*srst_asserted)(int* srst_asserted);
485 } jtag_interface_t;
486
487 enum jtag_event {
488 JTAG_TRST_ASSERTED
489 };
490
491 extern char* jtag_event_strings[];
492
493 enum jtag_tap_event {
494 JTAG_TAP_EVENT_ENABLE,
495 JTAG_TAP_EVENT_DISABLE
496 };
497
498 extern const Jim_Nvp nvp_jtag_tap_event[];
499
500 struct jtag_tap_event_action_s
501 {
502 enum jtag_tap_event event;
503 Jim_Obj* body;
504 jtag_tap_event_action_t* next;
505 };
506
507 extern int jtag_trst;
508 extern int jtag_srst;
509
510 typedef struct jtag_event_callback_s
511 {
512 int (*callback)(enum jtag_event event, void* priv);
513 void* priv;
514 struct jtag_event_callback_s* next;
515 } jtag_event_callback_t;
516
517 extern jtag_event_callback_t* jtag_event_callbacks;
518
519 extern jtag_interface_t* jtag; /* global pointer to configured JTAG interface */
520
521 extern int jtag_speed;
522 extern int jtag_speed_post_reset;
523
524 enum reset_types {
525 RESET_NONE = 0x0,
526 RESET_HAS_TRST = 0x1,
527 RESET_HAS_SRST = 0x2,
528 RESET_TRST_AND_SRST = 0x3,
529 RESET_SRST_PULLS_TRST = 0x4,
530 RESET_TRST_PULLS_SRST = 0x8,
531 RESET_TRST_OPEN_DRAIN = 0x10,
532 RESET_SRST_PUSH_PULL = 0x20,
533 };
534
535 extern enum reset_types jtag_reset_config;
536
537 /* initialize interface upon startup. A successful no-op
538 * upon subsequent invocations
539 */
540 extern int jtag_interface_init(struct command_context_s* cmd_ctx);
541
542 /* initialize JTAG chain using only a RESET reset. If init fails,
543 * try reset + init.
544 */
545 extern int jtag_init(struct command_context_s* cmd_ctx);
546
547 /* reset, then initialize JTAG chain */
548 extern int jtag_init_reset(struct command_context_s* cmd_ctx);
549 extern int jtag_register_commands(struct command_context_s* cmd_ctx);
550
551 /* JTAG interface, can be implemented with a software or hardware fifo
552 *
553 * TAP_DRSHIFT and TAP_IRSHIFT are illegal end states. TAP_DRSHIFT/IRSHIFT as end states
554 * can be emulated by using a larger scan.
555 *
556 * Code that is relatively insensitive to the path(as long
557 * as it is JTAG compliant) taken through state machine can use
558 * endstate for jtag_add_xxx_scan(). Otherwise the pause state must be
559 * specified as end state and a subsequent jtag_add_pathmove() must
560 * be issued.
561 *
562 */
563 extern void jtag_add_ir_scan(int num_fields, scan_field_t* fields, tap_state_t endstate);
564 extern int interface_jtag_add_ir_scan(int num_fields, scan_field_t* fields, tap_state_t endstate);
565 extern void jtag_add_dr_scan(int num_fields, scan_field_t* fields, tap_state_t endstate);
566 extern int interface_jtag_add_dr_scan(int num_fields, scan_field_t* fields, tap_state_t endstate);
567 extern void jtag_add_plain_ir_scan(int num_fields, scan_field_t* fields, tap_state_t endstate);
568 extern int interface_jtag_add_plain_ir_scan(int num_fields, scan_field_t* fields, tap_state_t endstate);
569 extern void jtag_add_plain_dr_scan(int num_fields, scan_field_t* fields, tap_state_t endstate);
570 extern int interface_jtag_add_plain_dr_scan(int num_fields, scan_field_t* fields, tap_state_t endstate);
571
572 /* run a TAP_RESET reset. End state is TAP_RESET, regardless
573 * of start state.
574 */
575 extern void jtag_add_tlr(void);
576 extern int interface_jtag_add_tlr(void);
577
578 /* Do not use jtag_add_pathmove() unless you need to, but do use it
579 * if you have to.
580 *
581 * DANGER! If the target is dependent upon a particular sequence
582 * of transitions for things to work correctly(e.g. as a workaround
583 * for an errata that contradicts the JTAG standard), then pathmove
584 * must be used, even if some jtag interfaces happen to use the
585 * desired path. Worse, the jtag interface used for testing a
586 * particular implementation, could happen to use the "desired"
587 * path when transitioning to/from end
588 * state.
589 *
590 * A list of unambigious single clock state transitions, not
591 * all drivers can support this, but it is required for e.g.
592 * XScale and Xilinx support
593 *
594 * Note! TAP_RESET must not be used in the path!
595 *
596 * Note that the first on the list must be reachable
597 * via a single transition from the current state.
598 *
599 * All drivers are required to implement jtag_add_pathmove().
600 * However, if the pathmove sequence can not be precisely
601 * executed, an interface_jtag_add_pathmove() or jtag_execute_queue()
602 * must return an error. It is legal, but not recommended, that
603 * a driver returns an error in all cases for a pathmove if it
604 * can only implement a few transitions and therefore
605 * a partial implementation of pathmove would have little practical
606 * application.
607 */
608 extern void jtag_add_pathmove(int num_states, tap_state_t* path);
609 extern int interface_jtag_add_pathmove(int num_states, tap_state_t* path);
610
611 /* go to TAP_IDLE, if we're not already there and cycle
612 * precisely num_cycles in the TAP_IDLE after which move
613 * to the end state, if it is != TAP_IDLE
614 *
615 * nb! num_cycles can be 0, in which case the fn will navigate
616 * to endstate via TAP_IDLE
617 */
618 extern void jtag_add_runtest(int num_cycles, tap_state_t endstate);
619 extern int interface_jtag_add_runtest(int num_cycles, tap_state_t endstate);
620
621 /* A reset of the TAP state machine can be requested.
622 *
623 * Whether tms or trst reset is used depends on the capabilities of
624 * the target and jtag interface(reset_config command configures this).
625 *
626 * srst can driver a reset of the TAP state machine and vice
627 * versa
628 *
629 * Application code may need to examine value of jtag_reset_config
630 * to determine the proper codepath
631 *
632 * DANGER! Even though srst drives trst, trst might not be connected to
633 * the interface, and it might actually be *harmful* to assert trst in this case.
634 *
635 * This is why combinations such as "reset_config srst_only srst_pulls_trst"
636 * are supported.
637 *
638 * only req_tlr_or_trst and srst can have a transition for a
639 * call as the effects of transitioning both at the "same time"
640 * are undefined, but when srst_pulls_trst or vice versa,
641 * then trst & srst *must* be asserted together.
642 */
643 extern void jtag_add_reset(int req_tlr_or_trst, int srst);
644
645 /* this drives the actual srst and trst pins. srst will always be 0
646 * if jtag_reset_config & RESET_SRST_PULLS_TRST != 0 and ditto for
647 * trst.
648 *
649 * the higher level jtag_add_reset will invoke jtag_add_tlr() if
650 * approperiate
651 */
652 extern int interface_jtag_add_reset(int trst, int srst);
653 extern void jtag_add_end_state(tap_state_t endstate);
654 extern int interface_jtag_add_end_state(tap_state_t endstate);
655 extern void jtag_add_sleep(u32 us);
656 extern int interface_jtag_add_sleep(u32 us);
657
658
659 /**
660 * Function jtag_add_stable_clocks
661 * first checks that the state in which the clocks are to be issued is
662 * stable, then queues up clock_count clocks for transmission.
663 */
664 void jtag_add_clocks(int num_cycles);
665 int interface_jtag_add_clocks(int num_cycles);
666
667
668 /*
669 * For software FIFO implementations, the queued commands can be executed
670 * during this call or earlier. A sw queue might decide to push out
671 * some of the jtag_add_xxx() operations once the queue is "big enough".
672 *
673 * This fn will return an error code if any of the prior jtag_add_xxx()
674 * calls caused a failure, e.g. check failure. Note that it does not
675 * matter if the operation was executed *before* jtag_execute_queue(),
676 * jtag_execute_queue() will still return an error code.
677 *
678 * All jtag_add_xxx() calls that have in_handler!=NULL will have been
679 * executed when this fn returns, but if what has been queued only
680 * clocks data out, without reading anything back, then JTAG could
681 * be running *after* jtag_execute_queue() returns. The API does
682 * not define a way to flush a hw FIFO that runs *after*
683 * jtag_execute_queue() returns.
684 *
685 * jtag_add_xxx() commands can either be executed immediately or
686 * at some time between the jtag_add_xxx() fn call and jtag_execute_queue().
687 */
688 extern int jtag_execute_queue(void);
689
690 /* can be implemented by hw+sw */
691 extern int interface_jtag_execute_queue(void);
692 extern int jtag_power_dropout(int* dropout);
693 extern int jtag_srst_asserted(int* srst_asserted);
694
695 /* JTAG support functions */
696 extern void jtag_set_check_value(scan_field_t* field, u8* value, u8* mask, error_handler_t* in_error_handler);
697 extern enum scan_type jtag_scan_type(scan_command_t* cmd);
698 extern int jtag_scan_size(scan_command_t* cmd);
699 extern int jtag_read_buffer(u8* buffer, scan_command_t* cmd);
700 extern int jtag_build_buffer(scan_command_t* cmd, u8** buffer);
701
702 extern void jtag_sleep(u32 us);
703 extern int jtag_call_event_callbacks(enum jtag_event event);
704 extern int jtag_register_event_callback(int (* callback)(enum jtag_event event, void* priv), void* priv);
705
706 extern int jtag_verify_capture_ir;
707
708 void jtag_tap_handle_event(jtag_tap_t* tap, enum jtag_tap_event e);
709
710 /* error codes
711 * JTAG subsystem uses codes between -100 and -199 */
712
713 #define ERROR_JTAG_INIT_FAILED (-100)
714 #define ERROR_JTAG_INVALID_INTERFACE (-101)
715 #define ERROR_JTAG_NOT_IMPLEMENTED (-102)
716 #define ERROR_JTAG_TRST_ASSERTED (-103)
717 #define ERROR_JTAG_QUEUE_FAILED (-104)
718 #define ERROR_JTAG_NOT_STABLE_STATE (-105)
719 #define ERROR_JTAG_DEVICE_ERROR (-107)
720
721
722 /* this allows JTAG devices to implement the entire jtag_xxx() layer in hw/sw */
723 #ifdef HAVE_JTAG_MINIDRIVER_H
724 /* Here a #define MINIDRIVER() and an inline version of hw fifo interface_jtag_add_dr_out can be defined */
725 #include "jtag_minidriver.h"
726 #define MINIDRIVER(a) notused ## a
727 #else
728 #define MINIDRIVER(a) a
729
730 /* jtag_add_dr_out() is a faster version of jtag_add_dr_scan()
731 *
732 * Current or end_state can not be TAP_RESET. end_state can be TAP_INVALID
733 *
734 * num_bits[i] is the number of bits to clock out from value[i] LSB first.
735 *
736 * If the device is in bypass, then that is an error condition in
737 * the caller code that is not detected by this fn, whereas jtag_add_dr_scan()
738 * does detect it. Similarly if the device is not in bypass, data must
739 * be passed to it.
740 *
741 * If anything fails, then jtag_error will be set and jtag_execute() will
742 * return an error. There is no way to determine if there was a failure
743 * during this function call.
744 *
745 * Note that this jtag_add_dr_out can be defined as an inline function.
746 */
747 extern void interface_jtag_add_dr_out(jtag_tap_t* tap, int num_fields, const int* num_bits, const u32* value,
748 tap_state_t end_state);
749
750 #endif
751
752 static __inline__ void jtag_add_dr_out(jtag_tap_t* tap, int num_fields, const int* num_bits, const u32* value,
753 tap_state_t end_state)
754 {
755 if (end_state != TAP_INVALID)
756 cmd_queue_end_state = end_state;
757 cmd_queue_cur_state = cmd_queue_end_state;
758 interface_jtag_add_dr_out(tap, num_fields, num_bits, value, cmd_queue_end_state);
759 }
760
761
762 #endif /* JTAG_H */

Linking to existing account procedure

If you already have an account and want to add another login method you MUST first sign in with your existing account and then change URL to read https://review.openocd.org/login/?link to get to this page again but this time it'll work for linking. Thank you.

SSH host keys fingerprints

1024 SHA256:YKx8b7u5ZWdcbp7/4AeXNaqElP49m6QrwfXaqQGJAOk gerrit-code-review@openocd.zylin.com (DSA)
384 SHA256:jHIbSQa4REvwCFG4cq5LBlBLxmxSqelQPem/EXIrxjk gerrit-code-review@openocd.org (ECDSA)
521 SHA256:UAOPYkU9Fjtcao0Ul/Rrlnj/OsQvt+pgdYSZ4jOYdgs gerrit-code-review@openocd.org (ECDSA)
256 SHA256:A13M5QlnozFOvTllybRZH6vm7iSt0XLxbA48yfc2yfY gerrit-code-review@openocd.org (ECDSA)
256 SHA256:spYMBqEYoAOtK7yZBrcwE8ZpYt6b68Cfh9yEVetvbXg gerrit-code-review@openocd.org (ED25519)
+--[ED25519 256]--+
|=..              |
|+o..   .         |
|*.o   . .        |
|+B . . .         |
|Bo. = o S        |
|Oo.+ + =         |
|oB=.* = . o      |
| =+=.+   + E     |
|. .=o   . o      |
+----[SHA256]-----+
2048 SHA256:0Onrb7/PHjpo6iVZ7xQX2riKN83FJ3KGU0TvI0TaFG4 gerrit-code-review@openocd.zylin.com (RSA)